1--TEST--
2Test closelog() function : basic functionality
3--FILE--
4<?php
5echo "*** Testing closelog() : basic functionality ***\n";
6
7// Zero arguments
8echo "\n-- Testing closelog() function with Zero arguments --\n";
9var_dump( closelog() );
10?>
11--EXPECT--
12*** Testing closelog() : basic functionality ***
13
14-- Testing closelog() function with Zero arguments --
15bool(true)
16