Home
last modified time | relevance | path

Searched refs:autoload (Results 1 – 25 of 59) sorted by path

123

/PHP-5.5/
H A DNEWS1379 . Added validation of class names in the autoload process. (Dmitry)
1717 . Fixed bug #65254 (Exception not catchable when exception thrown in autoload
1724 . Fixed bug #65161 (Generator + autoload + syntax error = segfault). (Nikita)
4621 . Fixed bug #53141 (autoload misbehaves if called from closing session).
5915 . Added delaying exceptions in SPL's autoload mechanism. (Marcus)
7292 - Fixed bug #42009 (is_a() and is_subclass_of() should NOT call autoload, in the
9369 - Fixed bug #34584 (Segfault with SPL autoload handler). (Marcus)
9407 - Fixed bug #34216 (Segfault with autoload). (Marcus)
H A DREADME.namespaces102 first tries to use (and autoload) class from current namespace and in case
/PHP-5.5/Zend/tests/
H A Dbug46665.phpt2 Bug #46665 (Triggering autoload with a variable classname causes truncated autoload param)
H A Dbug46813.phpt15 echo "autoload == true:\n";
17 echo "autoload == false:\n";
21 autoload == true:
23 autoload == false:
H A Dbug47699.phpt2 Bug #47699 (autoload and late static binding)
H A Dbug63741.phpt23 static function autoload($classname)
31 spl_autoload_register(["autoloader", "autoload"]);
H A Dbug65254.phpt2 Bug #65254 (Exception not catchable when exception thrown in autoload with a namespace)
H A Dcall_user_func_002.phpt2 Testing call_user_func() with autoload and passing invalid params
H A Dclass_alias_007.phpt2 Testing class_alias() using autoload
/PHP-5.5/Zend/tests/generators/
H A Dbug65161.phpt2 Bug #65161: Generator + autoload + syntax error = segfault
6 function autoload() {
9 spl_autoload_register('autoload');
/PHP-5.5/Zend/
H A Dzend_builtin_functions.c173 ZEND_ARG_INFO(0, autoload)
178 ZEND_ARG_INFO(0, autoload)
188 ZEND_ARG_INFO(0, autoload)
1225 zend_bool autoload = 1; in ZEND_FUNCTION() local
1232 if (!autoload) { in ZEND_FUNCTION()
1268 zend_bool autoload = 1; in ZEND_FUNCTION() local
1275 if (!autoload) { in ZEND_FUNCTION()
1311 zend_bool autoload = 1; in ZEND_FUNCTION() local
1318 if (!autoload) { in ZEND_FUNCTION()
1395 zend_bool autoload = 1; in ZEND_FUNCTION() local
[all …]
/PHP-5.5/ext/fileinfo/tests/
H A Dmagic11500 0 search/4096 (autoload\ Lisp/Scheme program text
/PHP-5.5/ext/reflection/tests/
H A Dbug26640.phpt12 print "autoload success\n";
/PHP-5.5/ext/session/tests/
H A Dbug53141.phpt2 Bug #53141 (autoload misbehaves if called from closing session)
/PHP-5.5/ext/spl/examples/
H A Dautoload.inc3 /** @file autoload.inc
/PHP-5.5/ext/spl/
H A Dphp_spl.c65 static zend_class_entry * spl_find_ce_by_name(char *name, int len, zend_bool autoload TSRMLS_DC) in spl_find_ce_by_name()
70 if (!autoload) { in spl_find_ce_by_name()
96 zend_bool autoload = 1; in PHP_FUNCTION() local
98 if (zend_parse_parameters(ZEND_NUM_ARGS() TSRMLS_CC, "z|b", &obj, &autoload) == FAILURE) { in PHP_FUNCTION()
129 zend_bool autoload = 1; in PHP_FUNCTION() local
132 if (zend_parse_parameters(ZEND_NUM_ARGS() TSRMLS_CC, "z|b", &obj, &autoload) == FAILURE) { in PHP_FUNCTION()
158 zend_bool autoload = 1; in PHP_FUNCTION() local
161 if (zend_parse_parameters(ZEND_NUM_ARGS() TSRMLS_CC, "z|b", &obj, &autoload) == FAILURE) { in PHP_FUNCTION()
870 ZEND_ARG_INFO(0, autoload)
875 ZEND_ARG_INFO(0, autoload)
[all …]
/PHP-5.5/ext/spl/tests/
H A Dbug40091.phpt7 function autoload($class_name) {
8 // code to autoload based on directory
13 spl_autoload_register(array($autloader1, 'autoload'));
16 spl_autoload_register(array($autloader2, 'autoload'));
30 [1] => autoload
39 [1] => autoload
H A Dclass_implements_basic.phpt5 /* Prototype : array class_implements(mixed what [, bool autoload ])
H A Dclass_implements_basic2.phpt5 /* Prototype : array class_implements(mixed what [, bool autoload ])
H A Dclass_implements_variation.phpt2 SPL: Test class_implements() function : variation - no interfaces and autoload
5 /* Prototype : array class_implements(mixed what [, bool autoload ])
18 echo "\n--- testing autoload ---\n";
24 echo "attempting to autoload $classname\n";
37 --- testing autoload ---
38 attempting to autoload non_existent
H A Dclass_implements_variation1.phpt5 /* Prototype : array class_implements(mixed what [, bool autoload ])
24 $autoload = true;
108 var_dump( class_implements($value, $autoload) );
H A Dclass_implements_variation2.phpt5 /* Prototype : array class_implements(mixed what [, bool autoload ])
H A Dclass_uses_basic.phpt5 /* Prototype : array class_uses(mixed what [, bool autoload ])
H A Dclass_uses_basic2.phpt5 /* Prototype : array class_uses(mixed what [, bool autoload ])
H A Dclass_uses_variation.phpt2 SPL: Test class_uses() function : variation - no interfaces and autoload
5 /* Prototype : array class_uses(mixed what [, bool autoload ])
18 echo "\n--- testing autoload ---\n";
24 echo "attempting to autoload $classname\n";
37 --- testing autoload ---
38 attempting to autoload non_existent

Completed in 97 milliseconds

123