Home
last modified time | relevance | path

Searched refs:uv_translate_sys_error (Results 1 – 19 of 19) sorted by relevance

/libuv/test/
H A Dtest-error.c67 ASSERT_EQ(uv_translate_sys_error(ERROR_NOACCESS), UV_EACCES); in TEST_IMPL()
68 ASSERT_EQ(uv_translate_sys_error(ERROR_ELEVATION_REQUIRED), UV_EACCES); in TEST_IMPL()
69 ASSERT_EQ(uv_translate_sys_error(WSAEADDRINUSE), UV_EADDRINUSE); in TEST_IMPL()
70 ASSERT_EQ(uv_translate_sys_error(ERROR_BAD_PIPE), UV_EPIPE); in TEST_IMPL()
72 ASSERT_EQ(uv_translate_sys_error(EPERM), UV_EPERM); in TEST_IMPL()
73 ASSERT_EQ(uv_translate_sys_error(EPIPE), UV_EPIPE); in TEST_IMPL()
74 ASSERT_EQ(uv_translate_sys_error(EINVAL), UV_EINVAL); in TEST_IMPL()
76 ASSERT_EQ(uv_translate_sys_error(UV_EINVAL), UV_EINVAL); in TEST_IMPL()
77 ASSERT_EQ(uv_translate_sys_error(UV_ERANGE), UV_ERANGE); in TEST_IMPL()
78 ASSERT_EQ(uv_translate_sys_error(UV_EACCES), UV_EACCES); in TEST_IMPL()
[all …]
/libuv/src/win/
H A Dudp.c150 return uv_translate_sys_error(err); in uv__udp_init_ex()
157 return uv_translate_sys_error(err); in uv__udp_init_ex()
327 return uv_translate_sys_error(err); in uv__udp_recv_start()
567 return uv_translate_sys_error(err); in uv__udp_set_membership4()
622 return uv_translate_sys_error(err); in uv__udp_set_membership6()
677 return uv_translate_sys_error(err); in uv__udp_set_source_membership4()
733 return uv_translate_sys_error(err); in uv__udp_set_source_membership6()
931 return uv_translate_sys_error(err); in uv_udp_open()
1010 return uv_translate_sys_error(err); in SOCKOPT_SETTER()
1032 return uv_translate_sys_error(err); in uv__udp_connect()
[all …]
H A Dutil.c140 return uv_translate_sys_error(err); in uv_exepath()
223 return uv_translate_sys_error(GetLastError()); in uv_chdir()
374 return uv_translate_sys_error(err); in uv_set_process_title()
650 return uv_translate_sys_error(err); in uv_cpu_info()
736 return uv_translate_sys_error(r); in uv_interface_addresses()
1108 return uv_translate_sys_error(r); in uv__getpwuid_r()
1121 return uv_translate_sys_error(r); in uv__getpwuid_r()
1136 return uv_translate_sys_error(r); in uv__getpwuid_r()
1395 return uv_translate_sys_error(r); in uv__get_handle()
1417 r = uv_translate_sys_error(GetLastError()); in uv_os_getpriority()
[all …]
H A Dstream.c47 return uv_translate_sys_error(err); in uv_listen()
66 return uv_translate_sys_error(err); in uv_accept()
90 return uv_translate_sys_error(err); in uv__read_start()
110 return uv_translate_sys_error(err); in uv_read_stop()
142 return uv_translate_sys_error(err); in uv_write()
167 return uv_translate_sys_error(err); in uv_write2()
H A Dthread.c180 return uv_translate_sys_error(GetLastError()); in uv_thread_setaffinity()
194 return uv_translate_sys_error(GetLastError()); in uv_thread_setaffinity()
221 return uv_translate_sys_error(GetLastError()); in uv_thread_getaffinity()
225 return uv_translate_sys_error(GetLastError()); in uv_thread_getaffinity()
257 return uv_translate_sys_error(GetLastError()); in uv_thread_join()
365 return uv_translate_sys_error(GetLastError()); in uv_sem_init()
H A Dtcp.c185 return uv_translate_sys_error(err); in uv_tcp_init_ex()
192 return uv_translate_sys_error(err); in uv_tcp_init_ex()
222 err = uv_translate_sys_error(WSAGetLastError()); in uv__process_tcp_shutdown_req()
1011 uv_translate_sys_error(err), in uv__process_tcp_read_req()
1239 req->cb(req, uv_translate_sys_error(err)); in uv__process_tcp_connect_req()
1325 return uv_translate_sys_error(err); in uv_tcp_nodelay()
1344 return uv_translate_sys_error(err); in uv_tcp_keepalive()
1512 return uv_translate_sys_error(err); in uv_tcp_open()
1543 return uv_translate_sys_error(err); in uv__tcp_bind()
1561 return uv_translate_sys_error(err); in uv__tcp_connect()
[all …]
H A Dgetaddrinfo.c46 default: return uv_translate_sys_error(sys_err); in uv__getaddrinfo_translate_error()
359 return uv_translate_sys_error(r); in uv_if_indextoname()
364 return uv_translate_sys_error(r); in uv_if_indextoname()
378 return uv_translate_sys_error(r); in uv_if_indextoiid()
H A Dpoll.c162 handle->poll_cb(handle, uv_translate_sys_error(error), 0); in uv__fast_poll_process_poll_req()
389 handle->poll_cb(handle, uv_translate_sys_error(err), 0); in uv__slow_poll_process_poll_req()
425 return uv_translate_sys_error(WSAGetLastError()); in uv_poll_init_socket()
458 return uv_translate_sys_error(WSAGetLastError()); in uv_poll_init_socket()
571 return uv_translate_sys_error(error); in uv__poll_close()
H A Dtty.c212 return uv_translate_sys_error(GetLastError()); in uv_tty_init()
220 return uv_translate_sys_error(GetLastError()); in uv_tty_init()
225 return uv_translate_sys_error(GetLastError()); in uv_tty_init()
380 return uv_translate_sys_error(err); in uv_tty_set_mode()
390 err = uv_translate_sys_error(GetLastError()); in uv_tty_set_mode()
404 return uv_translate_sys_error(err); in uv_tty_set_mode()
416 return uv_translate_sys_error(GetLastError()); in uv_tty_get_winsize()
725 uv_translate_sys_error(GetLastError()), in uv_process_tty_read_raw_req()
746 uv_translate_sys_error(GetLastError()), in uv_process_tty_read_raw_req()
2211 return uv_translate_sys_error(error);
[all …]
H A Dcore.c238 return uv_translate_sys_error(GetLastError()); in uv_loop_init()
747 return uv_translate_sys_error(WSAGetLastError()); in uv__socket_sockopt()
770 return uv_translate_sys_error(delayed_error); in uv__getsockpeername()
774 return uv_translate_sys_error(WSAGetLastError()); in uv__getsockpeername()
H A Dprocess.c847 exit_code = uv_translate_sys_error(GetLastError()); in uv__process_proc_exit()
1138 err = uv_translate_sys_error(err); in uv_spawn()
1322 return uv_translate_sys_error(err); in uv__kill()
1330 return uv_translate_sys_error(GetLastError()); in uv__kill()
1339 return uv_translate_sys_error(GetLastError()); in uv__kill()
1389 return uv_translate_sys_error(err); in uv_kill()
H A Dpipe.c493 return uv_translate_sys_error(GetLastError()); in uv__set_pipe_handle()
503 return uv_translate_sys_error(err); in uv__set_pipe_handle()
514 return uv_translate_sys_error(err); in uv__set_pipe_handle()
792 err = uv_translate_sys_error(err); in uv_pipe_bind2()
1886 handle->read_cb((uv_stream_t*)handle, uv_translate_sys_error(error), &buf); in uv__pipe_read_error()
2211 req->cb(req, uv_translate_sys_error(err)); in uv__process_pipe_write_req()
2286 err = uv_translate_sys_error(GET_REQ_ERROR(req)); in uv__process_pipe_connect_req()
2312 err = uv_translate_sys_error(GET_REQ_ERROR(req)); in uv__process_pipe_shutdown_req()
2455 return uv_translate_sys_error(GetLastError()); in uv_pipe_open()
2563 err = uv_translate_sys_error(pRtlNtStatusToDosError(nt_status)); in uv__pipe_getname()
[all …]
H A Derror.c66 int uv_translate_sys_error(int sys_errno) { in uv_translate_sys_error() function
H A Dfs-event.c323 last_error = uv_translate_sys_error(last_error); in uv_fs_event_start()
581 handle->cb(handle, NULL, 0, uv_translate_sys_error(err)); in uv__process_fs_event_req()
H A Dfs.c95 req->result = uv_translate_sys_error(req->sys_errno_); \
/libuv/docs/src/
H A Derrors.rst380 .. c:function:: int uv_translate_sys_error(int sys_errno)
/libuv/src/unix/
H A Dcore.c1369 int uv_translate_sys_error(int sys_errno) { in uv_translate_sys_error() function
/libuv/include/
H A Duv.h423 UV_EXTERN int uv_translate_sys_error(int sys_errno);
/libuv/
H A DChangeLog3488 * unix,win: add uv_translate_sys_error() public API (Philippe Laferriere)

Completed in 125 milliseconds