xref: /openssl/util/check-format.pl (revision 91ec19e9)
1#! /usr/bin/env perl
2#
3# Copyright 2020-2024 The OpenSSL Project Authors. All Rights Reserved.
4# Copyright Siemens AG 2019-2022
5#
6# Licensed under the Apache License 2.0 (the "License").
7# You may not use this file except in compliance with the License.
8# You can obtain a copy in the file LICENSE in the source distribution
9# or at https://www.openssl.org/source/license.html
10#
11# check-format.pl
12# - check formatting of C source according to OpenSSL coding style
13#
14# usage:
15#   check-format.pl [-l|--strict-len] [-b|--sloppy-bodylen]
16#                   [-s|--sloppy-space] [-c|--sloppy-comment]
17#                   [-m|--sloppy-macro] [-h|--sloppy-hang]
18#                   [-e|--eol-comment] [-1|--1-stmt]
19#                   <files>
20#
21# run self-tests:
22#   util/check-format.pl util/check-format-test-positives.c
23#   util/check-format.pl util/check-format-test-negatives.c
24#
25# checks adherence to the formatting rules of the OpenSSL coding guidelines
26# assuming that the input files contain syntactically correct C code.
27# This pragmatic tool is incomplete and yields some false positives.
28# Still it should be useful for detecting most typical glitches.
29#
30# options:
31#  -l | --strict-len     decrease accepted max line length from 100 to 80
32#  -b | --sloppy-bodylen do not report function body length > 200
33#  -s | --sloppy-space   do not report whitespace nits
34#  -c | --sloppy-comment do not report indentation of comments
35#                        Otherwise for each multi-line comment the indentation of
36#                        its lines is checked for consistency. For each comment
37#                        that does not begin to the right of normal code its
38#                        indentation must be as for normal code, while in case it
39#                        also has no normal code to its right it is considered to
40#                        refer to the following line and may be indented equally.
41#  -m | --sloppy-macro   allow missing extra indentation of macro bodies
42#  -h | --sloppy-hang    when checking hanging indentation, do not report
43#                        * same indentation as on line before
44#                        * same indentation as non-hanging indent level
45#                        * indentation moved left (not beyond non-hanging indent)
46#                          just to fit contents within the line length limit
47#  -e | --eol-comment    report needless intermediate multiple consecutive spaces also before end-of-line comments
48#  -1 | --1-stmt         do more aggressive checks for { 1 stmt } - see below
49#
50# There are non-trivial false positives and negatives such as the following.
51#
52# * When a line contains several issues of the same kind only one is reported.
53#
54# * When a line contains more than one statement this is (correctly) reported
55#   but in some situations the indentation checks for subsequent lines go wrong.
56#
57# * There is the special OpenSSL rule not to unnecessarily use braces around
58#   single statements:
59#   {
60#       stmt;
61#   }
62#   except within if ... else constructs where some branch contains more than one
63#   statement. Since the exception is hard to recognize when such branches occur
64#   after the current position (such that false positives would be reported)
65#   the tool by checks for this rule by default only for do/while/for bodies.
66#   Yet with the --1-stmt option false positives are preferred over negatives.
67#   False negatives occur if the braces are more than two non-blank lines apart.
68#
69# * The presence of multiple consecutive spaces is regarded a coding style nit
70#   except when this is before end-of-line comments (unless the --eol-comment is given) and
71#   except when done in order to align certain columns over multiple lines, e.g.:
72#   # define AB  1
73#   # define CDE 22
74#   # define F   3333
75#   This pattern is recognized - and consequently extra space not reported -
76#   for a given line if in the non-blank line before or after (if existing)
77#   for each occurrence of "  \S" (where \S means non-space) in the given line
78#   there is " \S" in the other line in the respective column position.
79#   This may lead to both false negatives (in case of coincidental " \S")
80#   and false positives (in case of more complex multi-column alignment).
81#
82# * When just part of control structures depend on #if(n)(def), which can be
83#   considered bad programming style, indentation false positives occur, e.g.:
84#   #if X
85#       if (1) /* bad style */
86#   #else
87#       if (2) /* bad style resulting in false positive */
88#   #endif
89#           c; /* resulting further false positive */
90
91use strict;
92# use List::Util qw[min max];
93use POSIX;
94
95use constant INDENT_LEVEL => 4;
96use constant MAX_LINE_LENGTH => 100;
97use constant STRICT_LINE_LENGTH => 80;
98use constant MAX_BODY_LENGTH => 200;
99
100# global variables @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
101
102# command-line options
103my $max_length = MAX_LINE_LENGTH;
104my $sloppy_bodylen = 0;
105my $sloppy_SPC = 0;
106my $sloppy_hang = 0;
107my $sloppy_cmt = 0;
108my $sloppy_macro = 0;
109my $eol_cmt = 0;
110my $extended_1_stmt = 0;
111
112while ($ARGV[0] =~ m/^-(\w|-[\w\-]+)$/) {
113    my $arg = $1; shift;
114    if ($arg =~ m/^(l|-strict-len)$/) {
115        $max_length = STRICT_LINE_LENGTH;
116    } elsif ($arg =~ m/^(b|-sloppy-bodylen)$/) {
117        $sloppy_bodylen = 1;
118    } elsif ($arg =~ m/^(s|-sloppy-space)$/) {
119        $sloppy_SPC= 1;
120    } elsif ($arg =~ m/^(c|-sloppy-comment)$/) {
121        $sloppy_cmt = 1;
122    } elsif ($arg =~ m/^(m|-sloppy-macro)$/) {
123        $sloppy_macro = 1;
124    } elsif ($arg =~ m/^(h|-sloppy-hang)$/) {
125        $sloppy_hang = 1;
126    } elsif ($arg =~ m/^(e|-eol-comment)$/) {
127        $eol_cmt = 1;
128    } elsif ($arg =~ m/^(1|-1-stmt)$/) {
129        $extended_1_stmt = 1;
130    } else {
131        die("unknown option: -$arg");
132    }
133}
134
135# state variables
136my $self_test;             # whether the current input file is regarded to contain (positive/negative) self-tests
137
138my $in_comment;            # number of lines so far within multi-line comment, 0 if no comment, < 0 when end is on current line
139my $leading_comment;       # multi-line comment has no code before its beginning delimiter, if $in_comment != 0
140my $formatted_comment;     # multi-line comment beginning with "/*-", which indicates/allows special formatting, if $in_comment != 0
141my $comment_indent;        # comment indent, if $in_comment != 0
142
143my $ifdef__cplusplus;      # line before contained '#ifdef __cplusplus' (used in header files)
144my $preproc_if_nesting;    # currently required indentation of preprocessor directive according to #if(n)(def)
145my $in_preproc;            # 0 or number of lines so far within preprocessor directive, e.g., macro definition
146my $preproc_directive;     # name of current preprocessor directive, if $in_preproc != 0
147my $preproc_offset;        # offset to $block_indent within multi-line preprocessor directive, else 0
148my $in_macro_header;       # number of open parentheses + 1 in (multi-line) header of #define, if $in_preproc != 0
149
150my $line;                  # current line number
151my $line_before;           # number of previous not essentially blank line (containing at most whitespace and '\')
152my $line_before2;          # number of not essentially blank line before previous not essentially blank line
153
154# indentation state
155my $contents;              # contents of current line (without blinding)
156#  $_                      # current line, where comments etc. get blinded
157my $code_contents_before;  # contents of previous non-comment non-preprocessor-directive line (without blinding), initially ""
158my $contents_before;       # contents of $line_before (without blinding), if $line_before > 0
159my $contents_before_;      # contents of $line_before after blinding comments etc., if $line_before > 0
160my $contents_before2;      # contents of $line_before2  (without blinding), if $line_before2 > 0
161my $contents_before_2;     # contents of $line_before2 after blinding comments etc., if $line_before2 > 0
162my $in_multiline_string;   # line starts within multi-line string literal
163my $count;                 # -1 or number of leading whitespace characters (except newline) in current line,
164                           # which should be $block_indent + $hanging_offset + $local_offset or $expr_indent
165my $count_before;          # number of leading whitespace characters (except line ending chars) in $contents_before
166my $has_label;             # current line contains label
167my $local_offset;          # current extra indent due to label, switch case/default, or leading closing brace(s)
168my $line_body_start;       # number of line where last function body started, or 0
169my $line_function_start;   # number of line where last function definition started, used for $line_body_start
170my $last_function_header;  # header containing name of last function defined, used if $line_body_start != 0
171my $line_opening_brace;    # number of previous line with opening brace after if/do/while/for, optionally for 'else'
172
173my $keyword_opening_brace; # name of previous keyword, used if $line_opening_brace != 0
174my $block_indent;          # currently required normal indentation at block/statement level
175my $hanging_offset;        # extra indent, which may be nested, for just one hanging statement or expr or typedef
176my @in_do_hanging_offsets; # stack of hanging offsets for nested 'do' ... 'while'
177my @in_if_hanging_offsets; # stack of hanging offsets for nested 'if' (but not its potential 'else' branch)
178my $if_maybe_terminated;   # 'if' ends and $hanging_offset should be reset unless the next line starts with 'else'
179my @nested_block_indents;  # stack of indentations at block/statement level, needed due to hanging statements
180my @nested_hanging_offsets;# stack of nested $hanging_offset values, in parallel to @nested_block_indents
181my @nested_in_typedecl;    # stack of nested $in_typedecl values, partly in parallel to @nested_block_indents
182my @nested_indents;        # stack of hanging indents due to parentheses, braces, brackets, or conditionals
183my @nested_symbols;        # stack of hanging symbols '(', '{', '[', or '?', in parallel to @nested_indents
184my @nested_conds_indents;  # stack of hanging indents due to conditionals ('?' ... ':')
185my $expr_indent;           # resulting hanging indent within (multi-line) expressions including type exprs, else 0
186my $hanging_symbol;        # character ('(', '{', '[', not: '?') responsible for $expr_indent, if $expr_indent != 0
187my $in_block_decls;        # number of local declaration lines after block opening before normal statements, or -1 if no block opening
188my $in_expr;               # in expression after if/while/for/switch/return/enum/LHS of assignment
189my $in_paren_expr;         # in parenthesized if/while/for condition and switch expression, if $expr_indent != 0
190my $in_typedecl;           # nesting level of typedef/struct/union/enum
191
192my $num_reports_line = 0;  # number of issues found on current line
193my $num_reports = 0;       # total number of issues found
194my $num_indent_reports = 0;# total number of indentation issues found
195my $num_nesting_issues = 0;# total number of preprocessor #if nesting issues found
196my $num_syntax_issues = 0; # total number of syntax issues found during sanity checks
197my $num_SPC_reports = 0;   # total number of whitespace issues found
198my $num_length_reports = 0;# total number of line length issues found
199
200sub reset_file_state {
201    $in_comment = 0;
202    $ifdef__cplusplus = 0;
203    $preproc_if_nesting = 0;
204    $in_preproc = 0;
205    $line = 0;
206    $line_before = 0;
207    $line_before2 = 0;
208    reset_indentation_state();
209}
210sub reset_indentation_state {
211    $code_contents_before = "";
212    @nested_block_indents = ();
213    @nested_hanging_offsets = ();
214    @nested_in_typedecl = ();
215    @nested_symbols = ();
216    @nested_indents = ();
217    @nested_conds_indents = ();
218    $expr_indent = 0;
219    $in_block_decls = -1;
220    $in_expr = 0;
221    $in_paren_expr = 0;
222    $hanging_offset = 0;
223    @in_do_hanging_offsets = ();
224    @in_if_hanging_offsets = ();
225    $if_maybe_terminated = 0;
226    $block_indent = 0;
227    $in_multiline_string = 0;
228    $line_body_start = 0;
229    $line_opening_brace = 0;
230    $in_typedecl = 0;
231}
232my $bak_line_before;
233my $bak_line_before2;
234my $bak_code_contents_before;
235my @bak_nested_block_indents;
236my @bak_nested_hanging_offsets;
237my @bak_nested_in_typedecl;
238my @bak_nested_symbols;
239my @bak_nested_indents;
240my @bak_nested_conds_indents;
241my $bak_expr_indent;
242my $bak_in_block_decls;
243my $bak_in_expr;
244my $bak_in_paren_expr;
245my $bak_hanging_offset;
246my @bak_in_do_hanging_offsets;
247my @bak_in_if_hanging_offsets;
248my $bak_if_maybe_terminated;
249my $bak_block_indent;
250my $bak_in_multiline_string;
251my $bak_line_body_start;
252my $bak_line_opening_brace;
253my $bak_in_typedecl;
254sub backup_indentation_state {
255    $bak_code_contents_before = $code_contents_before;
256    @bak_nested_block_indents = @nested_block_indents;
257    @bak_nested_hanging_offsets = @nested_hanging_offsets;
258    @bak_nested_in_typedecl = @nested_in_typedecl;
259    @bak_nested_symbols = @nested_symbols;
260    @bak_nested_indents = @nested_indents;
261    @bak_nested_conds_indents = @nested_conds_indents;
262    $bak_expr_indent = $expr_indent;
263    $bak_in_block_decls = $in_block_decls;
264    $bak_in_expr = $in_expr;
265    $bak_in_paren_expr = $in_paren_expr;
266    $bak_hanging_offset = $hanging_offset;
267    @bak_in_do_hanging_offsets = @in_do_hanging_offsets;
268    @bak_in_if_hanging_offsets = @in_if_hanging_offsets;
269    $bak_if_maybe_terminated = $if_maybe_terminated;
270    $bak_block_indent = $block_indent;
271    $bak_in_multiline_string = $in_multiline_string;
272    $bak_line_body_start = $line_body_start;
273    $bak_line_opening_brace = $line_opening_brace;
274    $bak_in_typedecl = $in_typedecl;
275}
276sub restore_indentation_state {
277    $code_contents_before = $bak_code_contents_before;
278    @nested_block_indents = @bak_nested_block_indents;
279    @nested_hanging_offsets = @bak_nested_hanging_offsets;
280    @nested_in_typedecl = @bak_nested_in_typedecl;
281    @nested_symbols = @bak_nested_symbols;
282    @nested_indents = @bak_nested_indents;
283    @nested_conds_indents = @bak_nested_conds_indents;
284    $expr_indent = $bak_expr_indent;
285    $in_block_decls = $bak_in_block_decls;
286    $in_expr = $bak_in_expr;
287    $in_paren_expr = $bak_in_paren_expr;
288    $hanging_offset = $bak_hanging_offset;
289    @in_do_hanging_offsets = @bak_in_do_hanging_offsets;
290    @in_if_hanging_offsets = @bak_in_if_hanging_offsets;
291    $if_maybe_terminated = $bak_if_maybe_terminated;
292    $block_indent = $bak_block_indent;
293    $in_multiline_string = $bak_in_multiline_string;
294    $line_body_start = $bak_line_body_start;
295    $line_opening_brace = $bak_line_opening_brace;
296    $in_typedecl = $bak_in_typedecl;
297}
298
299# auxiliary submodules @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
300
301sub report_flexibly {
302    my $line = shift;
303    my $msg = shift;
304    my $contents = shift;
305    my $report_SPC = $msg =~ /space|blank/;
306    return if $report_SPC && $sloppy_SPC;
307
308    print "$ARGV:$line:$msg:$contents" unless $self_test;
309    $num_reports_line++;
310    $num_reports++;
311    $num_indent_reports++ if $msg =~ m/:indent /;
312    $num_nesting_issues++ if $msg =~ m/ nesting indent /;
313    $num_syntax_issues++  if $msg =~ m/unclosed|unexpected/;
314    $num_SPC_reports++    if $report_SPC;
315    $num_length_reports++ if $msg =~ m/length/;
316}
317
318sub report {
319    my $msg = shift;
320    report_flexibly($line, $msg, $contents);
321}
322
323sub parens_balance { # count balance of opening parentheses - closing parentheses
324    my $str = shift;
325    return $str =~ tr/\(// - $str =~ tr/\)//;
326}
327
328sub blind_nonspace { # blind non-space text of comment as @, preserving length and spaces
329    # the @ character is used because it cannot occur in normal program code so there is no confusion
330    # comment text is not blinded to whitespace in order to be able to check extra SPC also in comments
331    my $comment_text = shift;
332    $comment_text =~ s/([\.\?\!])\s\s/$1. /g; # in extra SPC checks allow one extra SPC after period '.', '?', or '!' in comments
333    return $comment_text =~ tr/ /@/cr;
334}
335
336# submodule for indentation checking/reporting @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
337
338sub check_indent { # used for lines outside multi-line string literals
339    my $stmt_indent = $block_indent + $hanging_offset + $local_offset;
340    # print "DEBUG: expr_indent $expr_indent; stmt_indent $stmt_indent = block_indent $block_indent + hanging_offset $hanging_offset + local_offset $local_offset\n";
341    $stmt_indent = 0 if $stmt_indent < 0; # TODO maybe give warning/error
342    my $stmt_desc = $contents =~
343        m/^\s*\/\*/ ? "intra-line comment" :
344        $has_label ? "label" :
345        ($hanging_offset != 0 ? "hanging " : "").
346        ($hanging_offset != 0 ? "stmt/expr" : "stmt/decl"); # $in_typedecl is not fully to the point here
347    my ($ref_desc, $ref_indent) = $expr_indent == 0 ? ($stmt_desc, $stmt_indent)
348                                                    : ("hanging '$hanging_symbol'", $expr_indent);
349    my ($alt_desc, $alt_indent) = ("", $ref_indent);
350
351    # allow indent 1 for labels - this cannot happen for leading ':'
352    ($alt_desc, $alt_indent) = ("outermost position", 1) if $expr_indent == 0 && $has_label;
353
354    if (@nested_conds_indents != 0 && substr($_, $count, 1) eq ":") {
355        # leading ':' within stmt/expr/decl - this cannot happen for labels, leading '&&', or leading '||'
356        # allow special indent at level of corresponding "?"
357        ($alt_desc, $alt_indent) = ("leading ':'", @nested_conds_indents[-1]);
358    }
359    # allow extra indent offset leading '&&' or '||' - this cannot happen for leading ":"
360    ($alt_desc, $alt_indent) = ("leading '$1'", $ref_indent + INDENT_LEVEL) if $contents =~ m/^[\s@]*(\&\&|\|\|)/;
361
362    if ($expr_indent < 0) { # implies @nested_symbols != 0 && @nested_symbols[0] eq "{" && @nested_indents[-1] < 0
363        # allow normal stmt indentation level for hanging initializer/enum expressions after trailing '{'
364        # this cannot happen for labels and overrides special treatment of ':', '&&' and '||' for this line
365        ($alt_desc, $alt_indent) = ("lines after '{'", $stmt_indent);
366        # decide depending on current actual indentation, preventing forth and back
367        @nested_indents[-1] = $count == $stmt_indent ? $stmt_indent : -@nested_indents[-1]; # allow $stmt_indent
368        $ref_indent = $expr_indent = @nested_indents[-1];
369    }
370
371    # check consistency of indentation within multi-line comment (i.e., between its first, inner, and last lines)
372    if ($in_comment != 0 && $in_comment != 1) { # in multi-line comment but not on its first line
373        if (!$sloppy_cmt) {
374            if ($in_comment > 0) { # not at its end
375                report("indent = $count != $comment_indent within multi-line comment")
376                    if $count != $comment_indent;
377            } else {
378                my $tweak = $in_comment == -2 ? 1 : 0;
379                report("indent = ".($count + $tweak)." != $comment_indent at end of multi-line comment")
380                    if $count + $tweak != $comment_indent;
381            }
382        }
383        # do not check indentation of last line of non-leading multi-line comment
384        if ($in_comment < 0 && !$leading_comment) {
385            s/^(\s*)@/$1*/; # blind first '@' as '*' to prevent below delayed check for the line before
386            return;
387        }
388        return if $in_comment > 0; # not on its last line
389        # $comment_indent will be checked by the below checks for end of multi-line comment
390    }
391
392    # else check indentation of entire-line comment or entire-line end of multi-line comment
393    # ... w.r.t. indent of the following line by delayed check for the line before
394    if (($in_comment == 0 || $in_comment == 1) # no comment, intra-line comment, or begin of multi-line comment
395        && $line_before > 0 # there is a line before
396        && $contents_before_ =~ m/^(\s*)@[\s@]*$/) { # line before begins with '@', no code follows (except '\')
397        report_flexibly($line_before, "entire-line comment indent = $count_before != $count (of following line)",
398            $contents_before) if !$sloppy_cmt && $count_before != -1 && $count_before != $count;
399    }
400    # ... but allow normal indentation for the current line, else above check will be done for the line before
401    if (($in_comment == 0 || $in_comment < 0) # (no comment,) intra-line comment or end of multi-line comment
402        && m/^(\s*)@[\s@]*$/) { # line begins with '@', no code follows (except '\')
403        if ($count == $ref_indent) { # indentation is like for (normal) code in this line
404            s/^(\s*)@/$1*/; # blind first '@' as '*' to prevent above delayed check for the line before
405            return;
406        }
407        return if !eof; # defer check of entire-line comment to next line
408    }
409
410    # else check indentation of leading intra-line comment or end of multi-line comment
411    if (m/^(\s*)@/) { # line begins with '@', i.e., any (remaining type of) comment
412        if (!$sloppy_cmt && $count != $ref_indent) {
413            report("intra-line comment indent = $count != $ref_indent") if $in_comment == 0;
414            report("multi-line comment indent = $count != $ref_indent") if $in_comment < 0;
415        }
416        return;
417    }
418
419    if ($sloppy_hang && ($hanging_offset != 0 || $expr_indent != 0)) {
420        # do not report same indentation as on the line before (potentially due to same violations)
421        return if $line_before > 0 && $count == $count_before;
422
423        # do not report indentation at normal indentation level while hanging expression indent would be required
424        return if $expr_indent != 0 && $count == $stmt_indent;
425
426        # do not report if contents have been shifted left of nested expr indent (but not as far as stmt indent)
427        # apparently aligned to the right in order to fit within line length limit
428        return if $stmt_indent < $count && $count < $expr_indent &&
429            length($contents) == $max_length + length("\n");
430    }
431
432    report("indent = $count != $ref_indent for $ref_desc".
433           ($alt_desc eq ""
434            || $alt_indent == $ref_indent # prevent showing alternative that happens to have equal value
435            ? "" : " or $alt_indent for $alt_desc"))
436        if $count != $ref_indent && $count != $alt_indent;
437}
438
439# submodules handling indentation within expressions @@@@@@@@@@@@@@@@@@@@@@@@@@@
440
441sub update_nested_indents { # may reset $in_paren_expr and in this case also resets $in_expr
442    my $str = shift;
443    my $start = shift; # defaults to 0
444    my $terminator_position = -1;
445    for (my $i = $start; $i < length($str); $i++) {
446        my $c;
447        my $curr = substr($str, $i);
448        if ($curr =~ m/^(.*?)([{}()?:;\[\]])(.*)$/) { # match from position $i the first {}()?:;[]
449            $c = $2;
450        } else {
451            last;
452        }
453        my ($head, $tail) = (substr($str, 0, $i).$1, $3);
454        $i += length($1) + length($2) - 1;
455
456        # stop at terminator outside 'for (..;..;..)', assuming that 'for' is followed by '('
457        return $i if $c eq ";" && (!$in_paren_expr || @nested_indents == 0);
458
459        my $in_stmt = $in_expr || @nested_symbols != 0; # not: || $in_typedecl != 0
460        if ($c =~ m/[{([?]/) { # $c is '{', '(', '[', or '?'
461            if ($c eq "{") { # '{' in any context
462                $in_block_decls = 0 if !$in_expr && $in_typedecl == 0;
463                # cancel newly hanging_offset if opening brace '{' is after non-whitespace non-comment:
464                $hanging_offset -= INDENT_LEVEL if $hanging_offset > 0 && $head =~ m/[^\s\@]/;
465                push @nested_block_indents, $block_indent;
466                push @nested_hanging_offsets, $in_expr ? $hanging_offset : 0;
467                push @nested_in_typedecl, $in_typedecl if $in_typedecl != 0;
468                $block_indent += INDENT_LEVEL + $hanging_offset;
469                $hanging_offset = 0;
470            }
471            if ($c ne "{" || $in_stmt) { # for '{' inside stmt/expr (not: decl), for '(', '[', or '?' anywhere
472                $tail =~ m/^([\s@]*)([^\s\@])/;
473                push @nested_indents, defined $2
474                    ? $i + 1 + length($1) # actual indentation of following non-space non-comment
475                    : $c ne "{" ? +($i + 1)  # just after '(' or '[' if only whitespace thereafter
476                                : -($i + 1); # allow also $stmt_indent if '{' with only whitespace thereafter
477                push @nested_symbols, $c; # done also for '?' to be able to check correct nesting
478                push @nested_conds_indents, $i if $c eq "?"; # remember special alternative indent for ':'
479            }
480        } elsif ($c =~ m/[})\]:]/) { # $c is '}', ')', ']', or ':'
481            my $opening_c = ($c =~ tr/})]:/{([/r);
482            if (($c ne ":" || $in_stmt    # ignore ':' outside stmt/expr/decl
483                # in the presence of ':', one could add this sanity check:
484                # && !(# ':' after initial label/case/default
485                #      $head =~ m/^([\s@]*)(case\W.*$|\w+$)/ || # this matching would not work for
486                #                                               # multi-line expr after 'case'
487                #      # bitfield length within unsigned type decl
488                #      $tail =~ m/^[\s@]*\d+/                   # this matching would need improvement
489                #     )
490                )) {
491                if ($c ne "}" || $in_stmt) { # for '}' inside stmt/expr/decl, ')', ']', or ':'
492                    if (@nested_symbols != 0 &&
493                        @nested_symbols[-1] == $opening_c) { # for $c there was a corresponding $opening_c
494                        pop @nested_indents;
495                        pop @nested_symbols;
496                        pop @nested_conds_indents if $opening_c eq "?";
497                    } else {
498                        report("unexpected '$c' @ ".($in_paren_expr ? "(expr)" : "expr"));
499                        next;
500                    }
501                }
502                if ($c eq "}") { # '}' at block level but also inside stmt/expr/decl
503                    if (@nested_block_indents == 0) {
504                        report("unexpected '}'");
505                    } else {
506                        $block_indent = pop @nested_block_indents;
507                        $hanging_offset = pop @nested_hanging_offsets;
508                        $in_typedecl = pop @nested_in_typedecl if @nested_in_typedecl != 0;
509                    }
510                }
511                if ($in_paren_expr && !grep(/\(/, @nested_symbols)) { # end of (expr)
512                    check_nested_nonblock_indents("(expr)");
513                    $in_paren_expr = $in_expr = 0;
514                    report("code after (expr)")
515                        if $tail =~ m/^([^{]*)/ && $1 =~ m/[^\s\@;]/; # non-space non-';' before any '{'
516                }
517            }
518        }
519    }
520    return -1;
521}
522
523sub check_nested_nonblock_indents {
524    my $position = shift;
525    while (@nested_symbols != 0) {
526        my $symbol = pop @nested_symbols;
527        report("unclosed '$symbol' in $position");
528        if ($symbol eq "{") { # repair stack of blocks
529            $block_indent = pop @nested_block_indents;
530            $hanging_offset = pop @nested_hanging_offsets;
531            $in_typedecl = pop @nested_in_typedecl if @nested_in_typedecl != 0;
532        }
533    }
534    @nested_indents = ();
535    @nested_conds_indents = ();
536}
537
538# start of main program @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
539
540reset_file_state();
541
542while (<>) { # loop over all lines of all input files
543    $self_test = $ARGV =~ m/check-format-test/;
544    $_ = "" if $self_test && m/ blank line within local decls /;
545    $line++;
546    s/\r$//; # strip any trailing CR '\r' (which are typical on Windows systems)
547    $contents = $_;
548
549    # check for illegal characters
550    if (m/(.*?)([\x00-\x09\x0B-\x1F\x7F-\xFF])/) {
551        my $col = length($1);
552        report(($2 eq "\x09" ? "TAB" : $2 eq "\x0D" ? "CR " : $2 =~ m/[\x00-\x1F]/ ? "non-printable"
553                : "non-7bit char") . " at column $col") ;
554    }
555
556    # check for whitespace at EOL
557    report("trailing whitespace at EOL") if m/\s\n$/;
558
559    # assign to $count the actual indentation level of the current line
560    chomp; # remove trailing NL '\n'
561    m/^(\s*)/;
562    $count = length($1); # actual indentation
563    $has_label = 0;
564    $local_offset = 0;
565
566    # character/string literals @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
567
568    s/\\["']/@@/g; # blind all '"' and "'" escaped by '\' (typically within character literals or string literals)
569
570    # handle multi-line string literals to avoid confusion on starting/ending '"' and trailing '\'
571    if ($in_multiline_string) {
572        if (s#^([^"]*)"#($1 =~ tr/"/@/cr).'@'#e) { # string literal terminated by '"'
573            # string contents and its terminating '"' have been blinded as '@'
574            $count = -1; # do not check indentation
575        } else {
576            report("multi-line string literal not terminated by '\"' and trailing '\' is missing")
577                unless s#^([^\\]*)\s*\\\s*$#$1#; # strip trailing '\' plus any whitespace around
578            goto LINE_FINISHED;
579        }
580    }
581
582    # blind contents of character and string literals as @, preserving length (but not spaces)
583    # this prevents confusing any of the matching below, e.g., of whitespace and comment delimiters
584    s#('[^']*')#$1 =~ tr/'/@/cr#eg; # handle all intra-line character literals
585    s#("[^"]*")#$1 =~ tr/"/@/cr#eg; # handle all intra-line string literals
586    $in_multiline_string =          # handle trailing string literal terminated by '\'
587        s#^(([^"]*"[^"]*")*[^"]*)("[^"]*)\\(\s*)$#$1.($3 =~ tr/"/@/cr).'"'.$4#e;
588        # its contents have been blinded and the trailing '\' replaced by '"'
589
590    # strip any other trailing '\' along with any whitespace around it such that it does not interfere with various matching below
591    my $trailing_backslash = s#^(.*?)\s*\\\s*$#$1#; # trailing '\' possibly preceded or followed by whitespace
592    my $essentially_blank_line = m/^\s*$/; # just whitespace and maybe a '\'
593
594    # comments @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
595
596    # do/prepare checks within multi-line comments
597    my $self_test_exception = $self_test ? "@" : "";
598    if ($in_comment > 0) { # this still includes the last line of multi-line comment
599        my ($head, $any_symbol, $cmt_text) = m/^(\s*)(.?)(.*)$/;
600        if ($any_symbol eq "*") {
601            report("missing space or '*' after leading '*' in multi-line comment") if $cmt_text =~ m|^[^*\s/$self_test_exception]|;
602        } else {
603            report("missing leading '*' in multi-line comment");
604        }
605        $in_comment++;
606    }
607
608    # detect end of comment, must be within multi-line comment, check if it is preceded by non-whitespace text
609    if ((my ($head, $tail) = m|^(.*?)\*/(.*)$|) && $1 ne '/') { # ending comment: '*/'
610        report("missing space or '*' before '*/'") if $head =~ m/[^*\s]$/;
611        report("missing space (or ',', ';', ')', '}', ']') after '*/'") if $tail =~ m/^[^\s,;)}\]]/; # no space or ,;)}] after '*/'
612        if (!($head =~ m|/\*|)) { # not begin of comment '/*', which is is handled below
613            if ($in_comment == 0) {
614                report("unexpected '*/' outside comment");
615                $_ = "$head@@".$tail; # blind the "*/"
616            } else {
617                report("text before '*/' in multi-line comment") if ($head =~ m/[^*\s]/); # non-SPC before '*/'
618                $in_comment = -1; # indicate that multi-line comment ends on current line
619                if ($count > 0) {
620                    # make indentation of end of multi-line comment appear like of leading intra-line comment
621                    $head =~ s/^(\s*)\s/$1@/; # replace the last leading space by '@'
622                    $count--;
623                    $in_comment = -2; # indicate that multi-line comment ends on current line, with tweak
624                }
625                my $cmt_text = $head;
626                $_ = blind_nonspace($cmt_text)."@@".$tail;
627            }
628        }
629    }
630
631    # detect begin of comment, check if it is followed by non-space text
632  MATCH_COMMENT:
633    if (my ($head, $opt_minus, $tail) = m|^(.*?)/\*(-?)(.*)$|) { # begin of comment: '/*'
634        report("missing space before '/*'")
635            if $head =~ m/[^\s(\*]$/; # not space, '(', or or '*' (needed to allow '*/') before comment delimiter
636        report("missing space, '*', or '!' after '/*$opt_minus'") if $tail =~ m/^[^\s*!$self_test_exception]/;
637        my $cmt_text = $opt_minus.$tail; # preliminary
638        if ($in_comment > 0) {
639            report("unexpected '/*' inside multi-line comment");
640        } elsif ($tail =~ m|^(.*?)\*/(.*)$|) { # comment end: */ on same line
641            report("unexpected '/*' inside intra-line comment") if $1 =~ /\/\*/;
642            # blind comment text, preserving length and spaces
643            ($cmt_text, my $rest) = ($opt_minus.$1, $2);
644            $_ = "$head@@".blind_nonspace($cmt_text)."@@".$rest;
645            goto MATCH_COMMENT;
646        } else { # begin of multi-line comment
647            my $self_test_exception = $self_test ? "(@\d?)?" : "";
648            report("text after '/*' in multi-line comment")
649                unless $tail =~ m/^$self_test_exception.?[*\s]*$/;
650            # tail not essentially blank, first char already checked
651            # adapt to actual indentation of first line
652            $comment_indent = length($head) + 1;
653            $_ = "$head@@".blind_nonspace($cmt_text);
654            $in_comment = 1;
655            $leading_comment = $head =~ m/^\s*$/; # there is code before beginning delimiter
656            $formatted_comment = $opt_minus eq "-";
657        }
658    } elsif (($head, $tail) = m|^\{-(.*)$|) { # begin of Perl pragma: '{-'
659    }
660
661    if ($in_comment > 1) { # still inside multi-line comment (not at its begin or end)
662        m/^(\s*)\*?(\s*)(.*)$/;
663        $_ = $1."@".$2.blind_nonspace($3);
664    }
665
666    # handle special case of line after '#ifdef __cplusplus' (which typically appears in header files)
667    if ($ifdef__cplusplus) {
668        $ifdef__cplusplus = 0;
669        $_ = "$1 $2" if $contents =~ m/^(\s*extern\s*"C"\s*)\{(\s*)$/; # ignore opening brace in 'extern "C" {'
670        goto LINE_FINISHED if m/^\s*\}\s*$/; # ignore closing brace '}'
671    }
672
673    # check for over-long lines,
674    # while allowing trailing (also multi-line) string literals to go past $max_length
675    my $len = length; # total line length (without trailing '\n')
676    if ($len > $max_length &&
677        !(m/^(.*)"[^"]*"\s*[\)\}\]]*[,;]?\s*$/ # string literal terminated by '"' (or '\'), then maybe )}],;
678          && length($1) < $max_length)
679        # this allows over-long trailing string literals with beginning col before $max_length
680        ) {
681        report("line length = $len > ".$max_length);
682    }
683
684    # handle C++ / C99 - style end-of-line comments
685    if (my ($head, $cmt_text) = m|^(.*?)//(.*$)|) {
686        report("'//' end-of-line comment");  # the '//' comment style is not allowed for C90
687        # blind comment text, preserving length and spaces
688        $_ = "$head@@".blind_nonspace($cmt_text);
689    }
690
691    # at this point all non-space portions of any types of comments have been blinded as @
692
693    goto LINE_FINISHED if $essentially_blank_line;
694
695    # handle preprocessor directives @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
696
697    if (s/^(\s*#)(\s*)(\w+)//) { # line beginning with '#' and directive name;
698        # blank these portions to prevent confusion with C-level 'if', 'else', etc.
699        my ($lead, $space) = ($1, $2);
700        $preproc_directive = $3;
701        $_ = "$lead$space$preproc_directive$_" if $preproc_directive =~ m/^(define|include)$/; # yet do not blank #define or #include to prevent confusing the indentation or whitespace checks, resp.
702        $_ =  blind_nonspace($_) if $preproc_directive eq "error"; # blind error message
703        if ($in_preproc != 0) {
704            report("preprocessor directive within multi-line directive");
705            reset_indentation_state();
706        }
707        $in_preproc++;
708        report("indent = $count != 0 for '#'") if $count != 0;
709        report("'#$preproc_directive' with constant condition")
710            if $preproc_directive =~ m/^(if|elif)$/ && m/^[\W0-9]+$/ && !$trailing_backslash;
711        $preproc_if_nesting-- if $preproc_directive =~ m/^(else|elif|endif)$/;
712        if ($preproc_if_nesting < 0) {
713            $preproc_if_nesting = 0;
714            report("unexpected '#$preproc_directive' according to '#if' nesting");
715        }
716        my $space_count = length($space); # maybe could also use indentation before '#'
717        report("'#if' nesting indent = $space_count != $preproc_if_nesting") if $space_count != $preproc_if_nesting;
718        $preproc_if_nesting++ if $preproc_directive =~ m/^(if|ifdef|ifndef|else|elif)$/;
719        $ifdef__cplusplus = $preproc_directive eq "ifdef" && m/\s+__cplusplus\s*$/;
720
721        # handle indentation of preprocessor directive independently of surrounding normal code
722        $count = -1; # do not check indentation of first line of preprocessor directive
723        backup_indentation_state();
724        reset_indentation_state();
725    }
726
727    # intra-line whitespace nits @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
728
729    my $in_multiline_comment = ($in_comment > 1 || $in_comment < 0); # $in_multiline_comment refers to line before
730    if (!$sloppy_SPC && !($in_multiline_comment && $formatted_comment)) {
731        sub extra_SPC {
732            my $intra_line = shift;
733            return "extra space".($intra_line =~ m/@\s\s/ ?
734                                  $in_comment != 0 ? " in multi-line comment"
735                                                   : " in intra-line comment" : "");
736        }
737        sub split_line_head { # split line contents into header containing leading spaces and the first non-space char, and the rest of the line
738            my $comment_symbol =
739                $in_comment != 0 ? "@" : ""; # '@' will match the blinded leading '*' in multi-line comment
740                                             # $in_comment may pertain to the following line due to delayed check
741            # do not check for extra SPC in leading spaces including any '#' (or '*' within multi-line comment)
742            shift =~ m/^(\s*([#$comment_symbol]\s*)?)(.*?)\s*$/;
743            return ($1, $3);
744        }
745        my ($head , $intra_line ) = split_line_head($_);
746        my ($head1, $intra_line1) = split_line_head($contents_before_ ) if $line_before > 0;
747        my ($head2, $intra_line2) = split_line_head($contents_before_2) if $line_before2 > 0;
748        if ($line_before > 0) { # check with one line delay, such that at least $contents_before is available
749            sub column_alignments_only { # return 1 if the given line has multiple consecutive spaces only at columns that match the reference line
750                # all parameter strings are assumed to contain contents after blinding comments etc.
751                my $head = shift;     # leading spaces and the first non-space char
752                my $intra = shift;    # the rest of the line contents
753                my $contents = shift; # reference line
754                # check if all extra SPC in $intra is used only for multi-line column alignment with $contents
755                my $offset = length($head);
756                for (my $col = 0; $col < length($intra) - 2; $col++) {
757                    my $substr = substr($intra, $col);
758                    next unless $substr =~ m/^\s\s\S/; # extra SPC (but not in leading spaces of the line)
759                    next if !$eol_cmt && $substr =~ m/^[@\s]+$/; # end-of-line comment
760                    return 0 unless substr($contents, $col + $offset + 1, 2) =~ m/\s\S/; # reference line contents do not match
761                }
762                return 1;
763            }
764            report_flexibly($line_before, extra_SPC($intra_line1), $contents_before) if $intra_line1 =~ m/\s\s\S/ &&
765               !(    column_alignments_only($head1, $intra_line1, $_                )    # compare with $line
766                 || ($line_before2 > 0 &&
767                     column_alignments_only($head1, $intra_line1, $contents_before_2))); # compare w/ $line_before2
768            report(extra_SPC($intra_line)) if $intra_line  =~ m/\s\s\S/ && eof
769                && ! column_alignments_only($head , $intra_line , $contents_before_ )  ; # compare w/ $line_before
770        } elsif (eof) { # special case: just one line exists
771            report(extra_SPC($intra_line)) if $intra_line  =~ m/\s\s\S/;
772        }
773        # ignore paths in #include
774        $intra_line =~ s/^(include\s*)(".*?"|<.*?>)/$1/e if $head =~ m/#/;
775        report("missing space before '$2'")
776            if $intra_line =~ m/(\S)((<<|>>)=)/ # '<<=' or >>=' without preceding space
777            || ($intra_line =~ m/(\S)([\+\-\*\/\/%\&\|\^\!<>=]=)/
778                && "$1$2" ne "<<=" && "$1$2" ne ">>=") # other <op>= or (in)equality without preceding space
779            || ($intra_line =~ m/(\S)=/
780                && !($1 =~ m/[\+\-\*\/\/%\&\|\^\!<>=]/)
781                && $intra_line =~ m/(\S)(=)/); # otherwise, '=' without preceding space
782        # treat op= and comparison operators as simple '=', simplifying matching below
783        $intra_line =~ s/(<<|>>|[\+\-\*\/\/%\&\|\^\!<>=])=/=/g;
784        # treat (type) variables within macro, indicated by trailing '\', as 'int' simplifying matching below
785        $intra_line =~ s/[A-Z_]+/int/g if $trailing_backslash;
786        # treat double &&, ||, <<, and >> as single ones, simplifying matching below
787        $intra_line =~ s/(&&|\|\||<<|>>)/substr($1, 0, 1)/eg;
788        # remove blinded comments etc. directly after [{(
789        while ($intra_line =~ s/([\[\{\(])@+\s?/$1/e) {} # /g does not work here
790        # remove blinded comments etc. directly before ,;)}]
791        while ($intra_line =~ s/\s?@+([,;\)\}\]])/$1/e) {} # /g does not work here
792        # treat remaining blinded comments and string literal contents as (single) space during matching below
793        $intra_line =~ s/@+/ /g;                     # note that extra SPC has already been handled above
794        $intra_line =~ s/\s+$//;                     # strip any (resulting) space at EOL
795        # replace ';;' or '; ;' by ';' in "for (;;)" and in "for (...)" unless "..." contains just SPC and ';' characters:
796        $intra_line =~ s/((^|\W)for\s*\()([^;]*?)(\s*)(;\s?);(\s*)([^;]*)(\))/
797          "$1$3$4".("$3$4$5$6$7" eq ";" || $3 ne "" || $7 ne "" ? "" : $5).";$6$7$8"/eg;
798        # strip trailing ';' or '; ' in "for (...)" except in "for (;;)" or "for (;; )":
799        $intra_line =~ s/((^|\W)for\s*\()([^;]*(;[^;]*)?)(;\s?)(\))/
800          "$1$3".($3 eq ";" ? $5 : "")."$6"/eg;
801        $intra_line =~ s/(=\s*)\{ /"$1@ "/eg;        # do not report {SPC in initializers such as ' = { 0, };'
802        $intra_line =~ s/, \};/, @;/g;               # do not report SPC} in initializers such as ' = { 0, };'
803        report("space before '$1'") if $intra_line =~ m/[\w)\]]\s+(\+\+|--)/;  # postfix ++/-- with preceding space
804        report("space after '$1'")  if $intra_line =~ m/(\+\+|--)\s+[a-zA-Z_(]/; # prefix ++/-- with following space
805        $intra_line =~ s/\.\.\./@/g;                 # blind '...'
806        report("space before '$1'") if $intra_line =~ m/\s(\.|->)/;            # '.' or '->' with preceding space
807        report("space after '$1'")  if $intra_line =~ m/(\.|->)\s/;            # '.' or '->' with following space
808        $intra_line =~ s/\-\>|\+\+|\-\-/@/g;         # blind '->,', '++', and '--'
809        report("space before '$1'")     if $intra_line =~ m/[^:)]\s+(;)/;      # space before ';' but not after ':' or ')' # note that
810        # exceptions for "for (;; )" are handled above
811        report("space before '$1'")     if $intra_line =~ m/\s([,)\]])/;       # space before ,)]
812        report("space after '$1'")      if $intra_line =~ m/([(\[~!])\s/;      # space after ([~!
813        report("space after '$1'")      if $intra_line =~ m/(defined)\s/;      # space after 'defined'
814        report("missing space before '$1'")  if $intra_line =~ m/\S([|\/%<>^\?])/;  # |/%<>^? without preceding space
815        # TODO ternary ':' without preceding SPC, while allowing no SPC before ':' after 'case'
816        report("missing space before binary '$2'")  if $intra_line =~ m/([^\s{()\[e])([+\-])/; # '+'/'-' without preceding space or {()[e
817        # ')' may be used for type casts or before "->", 'e' may be used for numerical literals such as "1e-6"
818        report("missing space before binary '$1'")  if $intra_line =~ m/[^\s{()\[*!]([*])/; # '*' without preceding space or {()[*!
819        report("missing space before binary '$1'")  if $intra_line =~ m/[^\s{()\[]([&])/;  # '&' without preceding space or {()[
820        report("missing space after ternary '$1'") if $intra_line =~ m/(:)[^\s\d]/; # ':' without following space or digit
821        report("missing space after '$1'")   if $intra_line =~ m/([,;=|\/%<>^\?])\S/; # ,;=|/%<>^? without following space
822        report("missing space after binary '$1'") if $intra_line=~m/[^{(\[]([*])[^\sa-zA-Z_(),*]/;# '*' w/o space or \w(),* after
823        # TODO unary '*' must not be followed by SPC
824        report("missing space after binary '$1'") if $intra_line=~m/([&])[^\sa-zA-Z_(]/;  # '&' w/o following space or \w(
825        # TODO unary '&' must not be followed by SPC
826        report("missing space after binary '$1'") if $intra_line=~m/[^{(\[]([+\-])[^\s\d(]/;  # +/- w/o following space or \d(
827        # TODO unary '+' and '-' must not be followed by SPC
828        report("missing space after '$2'")   if $intra_line =~ m/(^|\W)(if|while|for|switch|case)[^\w\s]/; # kw w/o SPC
829        report("missing space after '$2'")   if $intra_line =~ m/(^|\W)(return)[^\w\s;]/;  # return w/o SPC or ';'
830        report("space after function/macro name")
831                                      if $intra_line =~ m/(\w+)\s+\(/        # fn/macro name with space before '('
832       && !($1 =~ m/^(sizeof|if|else|while|do|for|switch|case|default|break|continue|goto|return|void|char|signed|unsigned|int|short|long|float|double|typedef|enum|struct|union|auto|extern|static|const|volatile|register)$/) # not keyword
833                                    && !(m/^\s*#\s*define\s+\w+\s+\(/); # not a macro without parameters having a body that starts with '('
834        report("missing space before '{'")   if $intra_line =~ m/[^\s{(\[]\{/;      # '{' without preceding space or {([
835        report("missing space after '}'")    if $intra_line =~ m/\}[^\s,;\])}]/;    # '}' without following space or ,;])}
836    }
837
838    # adapt required indentation @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
839
840    s/(\w*ASN1_[A-Z_]+END\w*([^(]|\(.*?\)|$))/$1;/g; # treat *ASN1_*END*(..) macro calls as if followed by ';'
841
842    my $nested_indents_position = 0;
843
844    # update indents according to leading closing brace(s) '}' or label or switch case
845    my $in_stmt = $in_expr || @nested_symbols != 0 || $in_typedecl != 0;
846    if ($in_stmt) { # expr/stmt/type decl/var def/fn hdr, i.e., not at block level
847        if (m/^([\s@]*\})/) { # leading '}' within stmt, any preceding blinded comment must not be matched
848            $in_block_decls = -1;
849            my $head = $1;
850            update_nested_indents($head);
851            $nested_indents_position = length($head);
852            if (@nested_symbols >= 1) {
853                $hanging_symbol = @nested_symbols[-1];
854                $expr_indent = @nested_indents[-1];
855            } else { # typically end of initialiizer expr or enum
856                $expr_indent = 0;
857            }
858        } elsif (m/^([\s@]*)(static_)?ASN1_ITEM_TEMPLATE_END(\W|$)/) { # workaround for ASN1 macro indented as '}'
859            $local_offset = -INDENT_LEVEL;
860            $expr_indent = 0;
861        } elsif (m/;.*?\}/) { # expr ends with ';' before '}'
862            report("code before '}'");
863        }
864    }
865    if (@in_do_hanging_offsets != 0 && # note there is nothing like "unexpected 'while'"
866        m/^[\s@]*while(\W|$)/) { # leading 'while'
867        $hanging_offset = pop @in_do_hanging_offsets;
868    }
869    if ($if_maybe_terminated) {
870        if (m/(^|\W)else(\W|$)/) { # (not necessarily leading) 'else'
871            if (@in_if_hanging_offsets == 0) {
872                report("unexpected 'else'");
873            } else {
874                $hanging_offset = pop @in_if_hanging_offsets;
875            }
876        } else {
877            @in_if_hanging_offsets = (); # note there is nothing like "unclosed 'if'"
878            $hanging_offset = 0;
879        }
880    }
881    if (!$in_stmt) { # at block level, i.e., outside expr/stmt/type decl/var def/fn hdr
882        $if_maybe_terminated = 0;
883        if (my ($head, $before, $tail) = m/^([\s@]*([^{}]*)\})[\s@]*(.*)$/) { # leading closing '}', but possibly
884                                                                              # with non-whitespace non-'{' before
885            report("code after '}'") unless $tail eq "" || $tail =~ m/(else|while|OSSL_TRACE_END)(\W|$)/;
886            my $outermost_level = @nested_block_indents == 1 && @nested_block_indents[0] == 0;
887            if (!$sloppy_bodylen && $outermost_level && $line_body_start != 0) {
888                my $body_len = $line - $line_body_start - 1;
889                report_flexibly($line_function_start, "function body length = $body_len > ".MAX_BODY_LENGTH." lines",
890                    $last_function_header) if $body_len > MAX_BODY_LENGTH;
891                $line_body_start = 0;
892            }
893            if ($before ne "") { # non-whitespace non-'{' before '}'
894                report("code before '}'");
895            } else { # leading '}' outside stmt, any preceding blinded comment must not be matched
896                $in_block_decls = -1;
897                $local_offset = $block_indent + $hanging_offset - INDENT_LEVEL;
898                update_nested_indents($head);
899                $nested_indents_position = length($head);
900                $local_offset -= ($block_indent + $hanging_offset);
901                # in effect $local_offset = -INDENT_LEVEL relative to $block_indent + $hanging_offset values before
902            }
903        }
904
905        # handle opening brace '{' after if/else/while/for/switch/do on line before
906        if ($hanging_offset > 0 && m/^[\s@]*{/ && # leading opening '{'
907            $line_before > 0 &&
908            $contents_before_ =~ m/(^|^.*\W)(if|else|while|for|(OSSL_)?LIST_FOREACH(_\w+)?|switch|do)(\W.*$|$)/) {
909            $keyword_opening_brace = $1;
910            $hanging_offset -= INDENT_LEVEL; # cancel newly hanging_offset
911        }
912
913        if (m/^[\s@]*(case|default)(\W.*$|$)/) { # leading 'case' or 'default'
914            my $keyword = $1;
915            report("code after $keyword: ") if $2 =~ /:.*[^\s@].*$/;
916            $local_offset = -INDENT_LEVEL;
917        } else {
918            if (m/^([\s@]*)(\w+):/) { # (leading) label, cannot be "default"
919                $local_offset = -INDENT_LEVEL;
920                $has_label = 1;
921            }
922        }
923    }
924
925    # potential adaptations of indent in first line of macro body in multi-line macro definition
926    if ($in_preproc != 0 && $in_macro_header > 0) {
927        if ($in_macro_header > 1) { # still in macro definition header
928            $in_macro_header += parens_balance($_);
929        } else { # begin of macro body
930            $in_macro_header = 0;
931            if ($count == $block_indent - $preproc_offset # body began with same indentation as preceding code
932                && $sloppy_macro) { # workaround for this situation is enabled
933                $block_indent -= $preproc_offset;
934                $preproc_offset = 0;
935            }
936        }
937    }
938
939    # check required indentation @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
940
941    check_indent() if $count >= 0; # not for start of preprocessor directive and not if multi-line string literal is continued
942
943    # check for blank lines within/after local decls @@@@@@@@@@@@@@@@@@@@@@@@@@@
944
945    if ($in_block_decls >= 0 &&
946        $in_comment == 0 && !m/^\s*\*?@/ && # not in a multi-line or intra-line comment
947        !$in_expr && $expr_indent == 0 && $in_typedecl == 0) {
948        my $blank_line_before = $line > 1 && $code_contents_before =~ m/^\s*(\\\s*)?$/;
949        # essentially blank line before: just whitespace and maybe a '\'
950        if (m/^[\s(]*(char|signed|unsigned|int|short|long|float|double|enum|struct|union|auto|extern|static|const|volatile|register)(\W|$)/ # clear start of local decl
951            || (m/^(\s*(\w+|\[\]|[\*()]))+?\s+[\*\(]*\w+(\s*(\)|\[[^\]]*\]))*\s*[;,=]/ # weak check for decl involving user-defined type
952                && !m/^\s*(\}|sizeof|if|else|while|do|for|switch|case|default|break|continue|goto|return)(\W|$)/)) {
953            $in_block_decls++;
954            report_flexibly($line - 1, "blank line within local decls, before", $contents) if $blank_line_before;
955        } else {
956            report_flexibly($line, "missing blank line after local decls", "\n$contents_before$contents")
957                if $in_block_decls > 0 && !$blank_line_before;
958            $in_block_decls = -1 unless
959                m/^\s*(\\\s*)?$/ # essentially blank line: just whitespace (and maybe a trailing '\')
960            || $in_comment != 0 || m/^\s*\*?@/; # in multi-line comment or an intra-line comment
961        }
962    }
963
964    $in_comment = 0 if $in_comment < 0; # multi-line comment has ended
965
966    # do some further checks @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
967
968    my $outermost_level = $block_indent - $preproc_offset == 0;
969
970    report("more than one stmt") if !m/(^|\W)(for|(OSSL_)?LIST_FOREACH(_\w+)?)(\W.*|$)/ && # no 'for' - TODO improve matching
971        m/;.*;/; # two or more terminators ';', so more than one statement
972
973    # check for code block containing a single line/statement
974    if ($line_before2 > 0 && !$outermost_level && # within function body
975        $in_typedecl == 0 && @nested_indents == 0 && # neither within type declaration nor inside stmt/expr
976        m/^[\s@]*\}\s*(\w*)/) { # leading closing brace '}', any preceding blinded comment must not be matched
977        # TODO extend detection from single-line to potentially multi-line statement
978        my $next_word = $1;
979        if ($line_opening_brace > 0 &&
980            ($keyword_opening_brace ne "if" ||
981             $extended_1_stmt || $next_word ne "else") &&
982            ($line_opening_brace == $line_before2 ||
983             $line_opening_brace == $line_before)
984            && $contents_before =~ m/;/) { # there is at least one terminator ';', so there is some stmt
985            # TODO do not report cases where a further else branch
986            # follows with a block containing more than one line/statement
987            report_flexibly($line_before, "'$keyword_opening_brace' { 1 stmt }", $contents_before);
988        }
989    }
990
991    report("single-letter name '$2'") if (m/(^|.*\W)([IO])(\W.*|$)/); # single-letter name 'I' or 'O' # maybe re-add 'l'?
992    # constant on LHS of comparison or assignment, e.g., NULL != x or 'a' < c, but not a + 1 == b
993    report("constant on LHS of '$3'")
994        if (m/(['"]|([\+\-\*\/\/%\&\|\^<>]\s*)?\W[0-9]+L?|\WNULL)\s*([\!<>=]=|[<=>])([<>]?)/ &&
995            $2 eq "" && (($3 ne "<" && $3 ne "='" && $3 ne ">") || $4 eq ""));
996
997    # TODO report needless use of parentheses, while
998    #      macro parameters should always be in parens (except when passed on), e.g., '#define ID(x) (x)'
999
1000    # adapt required indentation for following lines @@@@@@@@@@@@@@@@@@@@@@@@@@@
1001
1002    # set $in_expr, $in_paren_expr, and $hanging_offset for if/while/for/switch, return/enum, and assignment RHS
1003    my $paren_expr_start = 0;
1004    my $return_enum_start = 0;
1005    my $assignment_start = 0;
1006    my $tmp = $_;
1007    $tmp =~ s/[\!<>=]=/@@/g; # blind (in-)equality symbols like '<=' as '@@' to prevent matching them as '=' below
1008    if      (m/^((^|.*\W)(if|while|for|(OSSL_)?LIST_FOREACH(_\w+)?|switch))(\W.*|$)$/) { # (last) if/for/while/switch
1009        $paren_expr_start = 1;
1010    } elsif (m/^((^|.*\W)(return|enum))(\W.*|$)/             # (last) return/enum
1011        && !$in_expr && @nested_indents == 0 && parens_balance($1) == 0) { # not nested enum
1012        $return_enum_start = 1;
1013    } elsif ($tmp =~ m/^(([^=]*)(=))(.*)$/                   # (last) '=', i.e., assignment
1014        && !$in_expr && @nested_indents == 0 && parens_balance($1) == 0) { # not nested assignment
1015        $assignment_start = 1;
1016    }
1017    if ($paren_expr_start || $return_enum_start || $assignment_start)
1018    {
1019        my ($head, $mid, $tail) = ($1, $3, $4);
1020        $keyword_opening_brace = $mid if $mid ne "=";
1021        # to cope with multi-line expressions, do this also if !($tail =~ m/\{/)
1022        push @in_if_hanging_offsets, $hanging_offset if $mid eq "if";
1023
1024        # already handle $head, i.e., anything before expression
1025        update_nested_indents($head, $nested_indents_position);
1026        $nested_indents_position = length($head);
1027        # now can set $in_expr and $in_paren_expr
1028        $in_expr = 1;
1029        $in_paren_expr = 1 if $paren_expr_start;
1030        if ($mid eq "while" && @in_do_hanging_offsets != 0) {
1031            $hanging_offset = pop @in_do_hanging_offsets;
1032        } else {
1033            $hanging_offset += INDENT_LEVEL; # tentatively set hanging_offset, may be canceled by following '{'
1034        }
1035    }
1036
1037    # set $hanging_offset and $keyword_opening_brace for do/else
1038    if (my ($head, $mid, $tail) = m/(^|^.*\W)(else|do)(\W.*|$)$/) { # last else/do, where 'do' is preferred, but not #else
1039        my $code_before = $head =~ m/[^\s\@}]/; # leading non-whitespace non-comment non-'}'
1040        report("code before '$mid'") if $code_before;
1041        report("code after '$mid'" ) if $tail =~ m/[^\s\@{]/# trailing non-whitespace non-comment non-'{' (non-'\')
1042                                                    && !($mid eq "else" && $tail =~ m/[\s@]*if(\W|$)/);
1043        if ($mid eq "do") { # workarounds for code before 'do'
1044            if ($head =~ m/(^|^.*\W)(else)(\W.*$|$)/) { # 'else' ... 'do'
1045                $hanging_offset += INDENT_LEVEL; # tentatively set hanging_offset, may be canceled by following '{'
1046            }
1047            if ($head =~ m/;/) { # terminator ';' ... 'do'
1048                @in_if_hanging_offsets = (); # note there is nothing like "unclosed 'if'"
1049                $hanging_offset = 0;
1050            }
1051        }
1052        push @in_do_hanging_offsets, $hanging_offset if $mid eq "do";
1053        if ($code_before && $mid eq "do") {
1054            $hanging_offset = length($head) - $block_indent;
1055        }
1056        if (!$in_paren_expr) {
1057            $keyword_opening_brace = $mid if $tail =~ m/\{/;
1058            $hanging_offset += INDENT_LEVEL;
1059        }
1060    }
1061
1062    # set $in_typedecl and potentially $hanging_offset for type declaration
1063    if (!$in_expr && @nested_indents == 0 # not in expression
1064        && m/(^|^.*\W)(typedef|enum|struct|union)(\W.*|$)$/
1065        && parens_balance($1) == 0 # not in newly started expression or function arg list
1066        && ($2 eq "typedef" || !($3 =~ m/\s*\w++\s*(.)/ && $1 ne "{")) # 'struct'/'union'/'enum' <name> not followed by '{'
1067        # not needed: && $keyword_opening_brace = $2 if $3 =~ m/\{/;
1068        ) {
1069        $in_typedecl++;
1070        $hanging_offset += INDENT_LEVEL if m/\*.*\(/; # '*' followed by '(' - seems consistent with Emacs C mode
1071    }
1072
1073    my $local_in_expr = $in_expr;
1074    my $terminator_position = update_nested_indents($_, $nested_indents_position);
1075
1076    if ($local_in_expr) {
1077        # on end of non-if/while/for/switch (multi-line) expression (i.e., return/enum/assignment) and
1078        # on end of statement/type declaration/variable definition/function header
1079        if ($terminator_position >= 0 && ($in_typedecl == 0 || @nested_indents == 0)) {
1080            check_nested_nonblock_indents("expr");
1081            $in_expr = 0;
1082        }
1083    } else {
1084        check_nested_nonblock_indents($in_typedecl == 0 ? "stmt" : "decl") if $terminator_position >= 0;
1085    }
1086
1087    # on ';', which terminates the current statement/type declaration/variable definition/function declaration
1088    if ($terminator_position >= 0) {
1089        my $tail = substr($_, $terminator_position + 1);
1090        if (@in_if_hanging_offsets != 0) {
1091            if ($tail =~ m/\s*else(\W|$)/) {
1092                pop @in_if_hanging_offsets;
1093                $hanging_offset -= INDENT_LEVEL;
1094            } elsif ($tail =~ m/[^\s@]/) { # code (not just comment) follows
1095                @in_if_hanging_offsets = (); # note there is nothing like "unclosed 'if'"
1096                $hanging_offset = 0;
1097            } else {
1098                $if_maybe_terminated = 1;
1099            }
1100        } elsif ($tail =~ m/^[\s@]*$/) { # ';' has been trailing, i.e. there is nothing but whitespace and comments
1101            $hanging_offset = 0; # reset in case of terminated assignment ('=') etc.
1102        }
1103        $in_typedecl-- if $in_typedecl != 0 && @nested_in_typedecl == 0; # TODO handle multiple type decls per line
1104        m/(;[^;]*)$/; # match last ';'
1105        $terminator_position = length($_) - length($1) if $1;
1106        # new $terminator_position value may be after the earlier one in case multiple terminators on current line
1107        # TODO check treatment in case of multiple terminators on current line
1108        update_nested_indents($_, $terminator_position + 1);
1109    }
1110
1111    # set hanging expression indent according to nested indents - TODO maybe do better in update_nested_indents()
1112    # also if $in_expr is 0: in statement/type declaration/variable definition/function header
1113    $expr_indent = 0;
1114    for (my $i = -1; $i >= -@nested_symbols; $i--) {
1115        if (@nested_symbols[$i] ne "?") { # conditionals '?' ... ':' are treated specially in check_indent()
1116            $hanging_symbol = @nested_symbols[$i];
1117            $expr_indent = $nested_indents[$i];
1118            # $expr_indent is guaranteed to be != 0 unless @nested_indents contains just outer conditionals
1119            last;
1120        }
1121    }
1122
1123    # remember line number and header containing name of last function defined for reports w.r.t. MAX_BODY_LENGTH
1124    if ($in_preproc == 0 && $outermost_level && m/(\w+)\s*\(/ && $1 ne "STACK_OF") {
1125        $line_function_start = $line;
1126        $last_function_header = $contents;
1127    }
1128
1129    # special checks for last, typically trailing opening brace '{' in line
1130    if (my ($head, $tail) = m/^(.*)\{(.*)$/) { # match last ... '{'
1131        if (!$in_expr && $in_typedecl == 0) {
1132            if ($outermost_level) {
1133                if (!$assignment_start && !$local_in_expr) {
1134                    # at end of function definition header (or stmt or var definition)
1135                    report("'{' not at line start") if length($head) != $preproc_offset && $head =~ m/\)\s*/; # at end of function definition header
1136                    $line_body_start = $contents =~ m/LONG BODY/ ? 0 : $line if $line_function_start != 0;
1137                }
1138            } else {
1139                $line_opening_brace = $line if $keyword_opening_brace =~ m/if|do|while|for|(OSSL_)?LIST_FOREACH(_\w+)?/;
1140                # using, not assigning, $keyword_opening_brace here because it could be on an earlier line
1141                $line_opening_brace = $line if $keyword_opening_brace eq "else" && $extended_1_stmt &&
1142                # TODO prevent false positives for if/else where braces around single-statement branches
1143                # should be avoided but only if all branches have just single statements
1144                # The following helps detecting the exception when handling multiple 'if ... else' branches:
1145                    !($keyword_opening_brace eq "else" && $line_opening_brace < $line_before2);
1146            }
1147            report("code after '{'") if $tail=~ m/[^\s\@]/ && # trailing non-whitespace non-comment (non-'\')
1148                                      !($tail=~ m/\}/);  # missing '}' after last '{'
1149        }
1150    }
1151
1152    # check for opening brace after if/while/for/switch/do missing on same line
1153    # note that "missing '{' on same line after '} else'" is handled further below
1154    if (/^[\s@]*{/ && # leading '{'
1155        $line_before > 0 && !($contents_before_ =~ m/^\s*#/) && # not preprocessor directive '#if
1156        (my ($head, $mid, $tail) = ($contents_before_ =~ m/(^|^.*\W)(if|while|for|(OSSL_)?LIST_FOREACH(_\w+)?|switch|do)(\W.*$|$)/))) {
1157        my $brace_after  = $tail =~ /^[\s@]*{/; # any whitespace or comments then '{'
1158        report("'{' not on same line as preceding '$mid'") if !$brace_after;
1159    }
1160    # check for closing brace on line before 'else' not followed by leading '{'
1161    elsif (my ($head, $tail) = m/(^|^.*\W)else(\W.*$|$)/) {
1162        if (parens_balance($tail) == 0 &&  # avoid false positive due to unfinished expr on current line
1163            !($tail =~ m/{/) && # after 'else' missing '{' on same line
1164            !($head =~ m/}[\s@]*$/) && # not: '}' then any whitespace or comments before 'else'
1165            $line_before > 0 && $contents_before_ =~ /}[\s@]*$/) { # trailing '}' on line before
1166            report("missing '{' on same line after '} else'");
1167        }
1168    }
1169
1170    # check for closing brace before 'while' not on same line
1171    if (my ($head, $tail) = m/(^|^.*\W)while(\W.*$|$)/) {
1172        my $brace_before = $head =~ m/}[\s@]*$/; # '}' then any whitespace or comments
1173        # possibly 'if (...)' (with potentially inner '(' and ')') then any whitespace or comments then '{'
1174        if (!$brace_before &&
1175            # does not work here: @in_do_hanging_offsets != 0 && #'while' terminates loop
1176            parens_balance($tail) == 0 &&  # avoid false positive due to unfinished expr on current line
1177            $tail =~ /;/ && # 'while' terminates loop (by ';')
1178            $line_before > 0 &&
1179            $contents_before_ =~ /}[\s@]*$/) { # on line before: '}' then any whitespace or comments
1180                report("'while' not on same line as preceding '}'");
1181            }
1182    }
1183
1184    # check for missing brace on same line before or after 'else'
1185    if (my ($head, $tail) = m/(^|^.*\W)else(\W.*$|$)/) {
1186        my $brace_before = $head =~ /}[\s@]*$/; # '}' then any whitespace or comments
1187        my $brace_after  = $tail =~ /^[\s@]*if[\s@]*\(.*\)[\s@]*{|[\s@]*{/;
1188        # possibly 'if (...)' (with potentially inner '(' and ')') then any whitespace or comments then '{'
1189        if (!$brace_before) {
1190            if ($line_before > 0 && $contents_before_ =~ /}[\s@]*$/) {
1191                report("'else' not on same line as preceding '}'");
1192            } elsif (parens_balance($tail) == 0) { # avoid false positive due to unfinished expr on current line
1193                report("missing '}' on same line before 'else ... {'") if $brace_after;
1194            }
1195        } elsif (parens_balance($tail) == 0) { # avoid false positive due to unfinished expr on current line
1196            report("missing '{' on same line after '} else'") if $brace_before && !$brace_after;
1197        }
1198    }
1199
1200    # on begin of multi-line preprocessor directive, adapt indent
1201    if ($in_comment == 0 && $trailing_backslash) {
1202        # trailing '\'typically used in preprocessor directive like '#define'
1203        if ($in_preproc == 1) { # start of multi-line preprocessor directive
1204            # note that backup+reset_indentation_state() has already been called
1205            $in_macro_header = m/^\s*#\s*define(\W|$)?(.*)/ ? 1 + parens_balance($2) : 0; # '#define' is beginning
1206            $preproc_offset = INDENT_LEVEL;
1207            $block_indent = $preproc_offset;
1208        }
1209        $in_preproc += 1;
1210    }
1211
1212    # post-processing at end of line @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
1213
1214  LINE_FINISHED:
1215    $code_contents_before = $contents if
1216        !m/^\s*#(\s*)(\w+)/ && # not single-line preprocessor directive
1217        $in_comment == 0 && !m/^\s*\*?@/; # not in a multi-line comment nor in an intra-line comment
1218
1219    # on end of (possibly multi-line) preprocessor directive, adapt indent
1220    if ($in_preproc != 0 && !$trailing_backslash) { # no trailing '\'
1221        $in_preproc = 0;
1222        $preproc_offset = 0;
1223        restore_indentation_state();
1224    }
1225
1226    if ($essentially_blank_line) {
1227            report("leading ".($1 eq "" ? "blank" :"whitespace")." line") if $line == 1 && !$sloppy_SPC;
1228    } else {
1229        if ($line_before > 0) {
1230            my $linediff = $line - $line_before - 1;
1231            report("$linediff blank lines before") if $linediff > 1 && !$sloppy_SPC;
1232        }
1233        $line_before2      = $line_before;
1234        $contents_before2  = $contents_before;
1235        $contents_before_2 = $contents_before_;
1236        $line_before       = $line;
1237        $contents_before   = $contents;
1238        $contents_before_  = $_;
1239        $count_before      = $count;
1240    }
1241
1242    if ($self_test) { # debugging
1243        my $should_report = $contents =~ m/\*@(\d)?/ ? 1 : 0;
1244        $should_report = +$1 if $should_report != 0 && defined $1;
1245        print("$ARGV:$line:$num_reports_line reports on:$contents")
1246            if $num_reports_line != $should_report;
1247    }
1248    $num_reports_line = 0;
1249
1250    # post-processing at end of file @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
1251
1252    if (eof) {
1253        # check for essentially blank line (which may include a '\') just before EOF
1254        report(($1 eq "\n" ? "blank line" : $2 ne "" ? "'\\'" : "whitespace")." at EOF")
1255            if $contents =~ m/^(\s*(\\?)\s*)$/ && !$sloppy_SPC;
1256
1257        # report unclosed expression-level nesting
1258        check_nested_nonblock_indents("expr at EOF"); # also adapts @nested_block_indents
1259
1260        # sanity-check balance of block-level { ... } via final $block_indent at end of file
1261        report_flexibly($line, +@nested_block_indents." unclosed '{'", "(EOF)\n") if @nested_block_indents != 0;
1262
1263        # sanity-check balance of #if ... #endif via final preprocessor directive indent at end of file
1264        report_flexibly($line, "$preproc_if_nesting unclosed '#if'", "(EOF)\n") if $preproc_if_nesting != 0;
1265
1266        reset_file_state();
1267    }
1268}
1269
1270# final summary report @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
1271
1272my $num_other_reports = $num_reports - $num_indent_reports - $num_nesting_issues
1273    - $num_syntax_issues - $num_SPC_reports - $num_length_reports;
1274print "$num_reports ($num_indent_reports indentation, $num_nesting_issues '#if' nesting indent, ".
1275    "$num_syntax_issues syntax, $num_SPC_reports whitespace, $num_length_reports length, $num_other_reports other)".
1276    " issues have been found by $0\n" if $num_reports != 0 && !$self_test;
1277