1--TEST--
2Test typed properties error condition (read uninitialized)
3--FILE--
4<?php
5$thing = new class() {
6	public int $int;
7};
8
9var_dump($thing->int);
10?>
11--EXPECTF--
12Fatal error: Uncaught Error: Typed property class@anonymous::$int must not be accessed before initialization in %s:6
13Stack trace:
14#0 {main}
15  thrown in %s on line 6
16