Home
last modified time | relevance | path

Searched refs:var_cnt (Results 1 – 15 of 15) sorted by relevance

/PHP-8.1/ext/mysqli/
H A Dmysqli_api.c189 if (stmt->param.var_cnt) { in mysqli_stmt_bind_param_do_bind()
246 stmt->param.var_cnt = num_vars; in mysqli_stmt_bind_param_do_bind()
359 int var_cnt = argc; in mysqli_stmt_bind_result_do_bind() local
364 if (stmt->result.var_cnt) { in mysqli_stmt_bind_result_do_bind()
377 for (i = 0; i < var_cnt; i++) { in mysqli_stmt_bind_result_do_bind()
506 for (i=0; i < var_cnt ; i++) { in mysqli_stmt_bind_result_do_bind()
514 stmt->result.var_cnt = var_cnt; in mysqli_stmt_bind_result_do_bind()
516 for (i = 0; i < var_cnt; i++) { in mysqli_stmt_bind_result_do_bind()
866 if (stmt->param.var_cnt) {
868 for (i = 0; i < stmt->param.var_cnt; i++) {
[all …]
H A Dphp_mysqli_structs.h61 unsigned int var_cnt; member
H A Dmysqli.c118 if (!bbuf.var_cnt) { in php_free_stmt_bind_buffer()
122 for (i=0; i < bbuf.var_cnt; i++) { in php_free_stmt_bind_buffer()
148 bbuf.var_cnt = 0; in php_free_stmt_bind_buffer()
/PHP-8.1/Zend/tests/
H A Dcompare_002.phpt31 $var_cnt = count($a);
41 for ($i = 0; $i < $var_cnt; $i++) {
H A Dcompare_002_64bit.phpt31 $var_cnt = count($a);
41 for ($i = 0; $i < $var_cnt; $i++) {
H A Dcompare_001.phpt31 $var_cnt = count($a);
41 for ($i = 0; $i < $var_cnt; $i++) {
H A Dcompare_003.phpt31 $var_cnt = count($a);
41 for ($i = 0; $i < $var_cnt; $i++) {
H A Dcompare_004.phpt31 $var_cnt = count($a);
41 for ($i = 0; $i < $var_cnt; $i++) {
H A Dcompare_005.phpt31 $var_cnt = count($a);
41 for ($i = 0; $i < $var_cnt; $i++) {
H A Dcompare_006.phpt31 $var_cnt = count($a);
41 for ($i = 0; $i < $var_cnt; $i++) {
H A Dcompare_001_64bit.phpt31 $var_cnt = count($a);
41 for ($i = 0; $i < $var_cnt; $i++) {
H A Dcompare_003_64bit.phpt31 $var_cnt = count($a);
41 for ($i = 0; $i < $var_cnt; $i++) {
H A Dcompare_004_64bit.phpt31 $var_cnt = count($a);
41 for ($i = 0; $i < $var_cnt; $i++) {
H A Dcompare_005_64bit.phpt31 $var_cnt = count($a);
41 for ($i = 0; $i < $var_cnt; $i++) {
H A Dcompare_006_64bit.phpt31 $var_cnt = count($a);
41 for ($i = 0; $i < $var_cnt; $i++) {

Completed in 39 milliseconds