Home
last modified time | relevance | path

Searched refs:OK (Results 151 – 175 of 239) sorted by relevance

12345678910

/PHP-5.5/ext/standard/tests/network/
H A Dsetcookie.phpt65 ? 'OK'
70 OK
/PHP-5.5/ext/date/tests/
H A Dstrtotime-mysql-64bit.phpt4 <?php echo PHP_INT_SIZE != 8 ? "skip 64-bit only" : "OK"; ?>
H A Dstrtotime-mysql.phpt4 <?php echo PHP_INT_SIZE == 8 ? "skip 32-bit only" : "OK"; ?>
H A Dbug41523-64bit.phpt4 <?php echo PHP_INT_SIZE != 8 ? "skip 64-bit only" : "OK"; ?>
H A Dbug41523.phpt4 <?php echo PHP_INT_SIZE == 8 ? "skip 32-bit only" : "OK"; ?>
/PHP-5.5/ext/standard/tests/math/
H A Dabs.phpt24 OK
/PHP-5.5/sapi/apache/
H A Dsapi_apache.c30 int retval = OK; in apache_php_module_main()
/PHP-5.5/ext/bz2/tests/
H A Dwith_strings.phpt27 OK
/PHP-5.5/ext/intl/tests/
H A Dbug59597_64.phpt5 <?php echo PHP_INT_SIZE != 8 ? "skip 64-bit only" : "OK"; ?>
H A Dbug59597_32.phpt5 <?php echo PHP_INT_SIZE == 8 ? "skip 32-bit only" : "OK"; ?>
/PHP-5.5/ext/standard/tests/array/
H A Dbug12776.phpt10 $globalArray[]=(string)$key; // this will end up OK
/PHP-5.5/ext/openssl/tests/
H A D001.phpt63 echo "OK!\n";
74 OK!
/PHP-5.5/ext/pgsql/tests/
H A D26async_query_prepared.phpt103 echo "OK";
106 OK
H A D05large_object.phpt71 echo "OK";
82 OK
/PHP-5.5/ext/zip/tests/
H A Dzip_open_error.phpt20 echo is_resource($zip) ? "OK" : "Failure";
/PHP-5.5/sapi/cli/tests/
H A Dbug66830.phpt38 HTTP/1.1 200 OK
H A Dphp_cli_server_018.phpt38 HTTP/1.1 200 OK
H A Dbug68745.phpt29 HTTP/1.1 200 OK
H A Dphp_cli_server_006.phpt35 HTTP/1.1 200 OK
H A Dbug65633.phpt39 HTTP/1.1 200 OK
H A Dphp_cli_server_017.phpt38 HTTP/1.1 200 OK
/PHP-5.5/ext/mysqli/tests/
H A Dmysqli_stmt_bind_param_type_juggling.phpt108 bind_param('ii', '1', '2') --> OK (int column, string value)
109 bind_param('ii', 1, 2) --> OK (int column, int value)
111 bind_param('ss', 1, 2) --> OK (string column, int value)
/PHP-5.5/ext/standard/tests/strings/
H A Dwordwrap.phpt37 OK
/PHP-5.5/ext/wddx/tests/
H A D001-64bit.phpt5 <?php echo PHP_INT_SIZE != 8 ? "skip 64-bit only" : "OK" ?>
H A D001.phpt5 <?php echo PHP_INT_SIZE == 8 ? "skip 32-bit only" : "OK" ?>

Completed in 43 milliseconds

12345678910