Home
last modified time | relevance | path

Searched refs:array (Results 4976 – 5000 of 6838) sorted by relevance

1...<<191192193194195196197198199200>>...274

/PHP-5.6/ext/standard/tests/general_functions/
H A Dfloatval.phpt11 $valid_floats = array(
60 // other types in an array
61 $not_float_types = array (
/PHP-5.6/ext/standard/tests/math/
H A Dlog_variation2.phpt30 $inputs = array(
58 array(),
165 Warning: log() expects parameter 2 to be double, array given in %s on line %d
/PHP-5.6/ext/date/tests/
H A Dbug45543.phpt7 $test_dates = array(
/PHP-5.6/ext/dom/tests/
H A Dbug28817.phpt28 array(4) {
/PHP-5.6/tests/basic/
H A D031.phpt25 array(3) {
/PHP-5.6/ext/spl/tests/
H A Drecursiveiteratoriterator_enditeration_basic.phpt8 $sample_array = array(1, 2);
H A Darray_025.phpt5 $obj1 = new ArrayObject(new ArrayObject(array(1,2)));
/PHP-5.6/ext/mbstring/tests/
H A Dbug40685.phpt7 $map = array(0, 0x10FFFF, 0, 0xFFFFFF);
H A Dbug72693.phpt34 array(1) {
/PHP-5.6/ext/mcrypt/tests/
H A Dbug72535.phpt13 $opts = array('iv' => $iv, 'key' => $key, 'mode' => 'stream');
/PHP-5.6/ext/mysqli/tests/
H A Dbug36802.phpt32 array(4) {
H A Dmysqli_mysqli_result_invalid_mode.phpt14 $valid = array(MYSQLI_STORE_RESULT, MYSQLI_USE_RESULT);
/PHP-5.6/ext/interbase/tests/
H A Dibase_param_info_001.phpt26 array(10) {
/PHP-5.6/ext/intl/tests/
H A Dbug53512.phpt8 $badvals = array(4294901761, 2147483648, -2147483648, -1);
H A Dmsgfmt_format_datetime.phpt22 var_dump($mf->format(array($dt)));
H A Dtimezone_createEnumeration_error.phpt11 var_dump(IntlTimeZone::createEnumeration(array()));
/PHP-5.6/ext/pdo_dblib/tests/
H A Dbug_45876.phpt18 array(8) {
/PHP-5.6/ext/posix/tests/
H A Dposix_ttyname_variation5.phpt21 $variation_array = array (
/PHP-5.6/ext/readline/tests/
H A Dlibedit_info_001.phpt22 array(6) {
/PHP-5.6/ext/phar/tests/
H A Dfrontcontroller19.phpt12 … with message 'Too many values passed to Phar::mungServer(), expecting an array of any of these st…
/PHP-5.6/ext/reflection/tests/
H A DReflectionObject_isInstantiable_basic.phpt25 $classes = array("C", "ifaceImpl", "D");
H A Dbug61388.phpt11 $x = (object)array("a", "oo" => "b");
/PHP-5.6/ext/oci8/tests/
H A Dbug36010.phpt5 $target_dbs = array('oracledb' => true, 'timesten' => false); // test runs on these DBs
/PHP-5.6/ext/snmp/tests/
H A Dgeneric_timeout_error.phpt18 var_dump(snmpget($hostname, 'timeout_community_432', array('.1.3.6.1.2.1.1.1.0'), $timeout, $retrie…
/PHP-5.6/ext/zlib/tests/
H A Dgztell_basic.phpt13 $intervals = array(7, 22, 54, 17, 27, 15, 1000);

Completed in 63 milliseconds

1...<<191192193194195196197198199200>>...274