Lines Matching refs:ASSERT_OK

45   ASSERT_OK(uv_is_active(handle));  in once_close_cb()
55 ASSERT_OK(uv_is_active((uv_handle_t*) handle)); in once_cb()
69 ASSERT_OK(uv_is_active(handle)); in twice_close_cb()
78 ASSERT_OK(uv_is_active((uv_handle_t*) handle)); in twice_cb()
129 ASSERT_OK(r); in TEST_IMPL()
131 ASSERT_OK(r); in TEST_IMPL()
136 ASSERT_OK(r); in TEST_IMPL()
138 ASSERT_OK(r); in TEST_IMPL()
142 ASSERT_OK(r); in TEST_IMPL()
144 ASSERT_OK(r); in TEST_IMPL()
146 ASSERT_OK(r); in TEST_IMPL()
169 ASSERT_OK(r); in TEST_IMPL()
171 ASSERT_OK(r); in TEST_IMPL()
173 ASSERT_OK(r); in TEST_IMPL()
175 ASSERT_OK(r); in TEST_IMPL()
187 ASSERT_OK(uv_timer_init(uv_default_loop(), &handle)); in TEST_IMPL()
188 ASSERT_OK(uv_timer_get_repeat(&handle)); in TEST_IMPL()
190 ASSERT_OK(uv_is_active((uv_handle_t*) &handle)); in TEST_IMPL()
215 ASSERT_OK(uv_timer_init(uv_default_loop(), &handle_a)); in TEST_IMPL()
216 ASSERT_OK(uv_timer_init(uv_default_loop(), &handle_b)); in TEST_IMPL()
220 ASSERT_OK(uv_timer_start(&handle_a, order_cb_a, 0, 0)); in TEST_IMPL()
222 ASSERT_OK(uv_timer_start(&handle_b, order_cb_b, 0, 0)); in TEST_IMPL()
223 ASSERT_OK(uv_run(uv_default_loop(), UV_RUN_DEFAULT)); in TEST_IMPL()
227 ASSERT_OK(uv_timer_stop(&handle_a)); in TEST_IMPL()
228 ASSERT_OK(uv_timer_stop(&handle_b)); in TEST_IMPL()
233 ASSERT_OK(uv_timer_start(&handle_b, order_cb_b, 0, 0)); in TEST_IMPL()
236 ASSERT_OK(uv_timer_start(&handle_a, order_cb_a, 0, 0)); in TEST_IMPL()
237 ASSERT_OK(uv_run(uv_default_loop(), UV_RUN_DEFAULT)); in TEST_IMPL()
247 ASSERT_OK(uv_timer_start(handle, zero_timeout_cb, 0, 0)); in zero_timeout_cb()
258 ASSERT_OK(uv_timer_init(loop, &timer)); in TEST_IMPL()
259 ASSERT_OK(uv_timer_start(&timer, zero_timeout_cb, 0, 0)); in TEST_IMPL()
263 ASSERT_OK(uv_run(loop, UV_RUN_DEFAULT)); in TEST_IMPL()
280 ASSERT_OK(uv_timer_init(uv_default_loop(), &tiny_timer)); in TEST_IMPL()
281 ASSERT_OK(uv_timer_init(uv_default_loop(), &huge_timer1)); in TEST_IMPL()
282 ASSERT_OK(uv_timer_init(uv_default_loop(), &huge_timer2)); in TEST_IMPL()
283 ASSERT_OK(uv_timer_start(&tiny_timer, tiny_timer_cb, 1, 0)); in TEST_IMPL()
284 ASSERT_OK(uv_timer_start(&huge_timer1, in TEST_IMPL()
288 ASSERT_OK(uv_timer_start(&huge_timer2, tiny_timer_cb, (uint64_t) -1, 0)); in TEST_IMPL()
292 ASSERT_OK(uv_run(uv_default_loop(), UV_RUN_DEFAULT)); in TEST_IMPL()
314 ASSERT_OK(uv_timer_init(uv_default_loop(), &tiny_timer)); in TEST_IMPL()
315 ASSERT_OK(uv_timer_init(uv_default_loop(), &huge_timer1)); in TEST_IMPL()
316 ASSERT_OK(uv_timer_start(&tiny_timer, huge_repeat_cb, 2, 2)); in TEST_IMPL()
317 ASSERT_OK(uv_timer_start(&huge_timer1, huge_repeat_cb, 1, (uint64_t) -1)); in TEST_IMPL()
318 ASSERT_OK(uv_run(uv_default_loop(), UV_RUN_DEFAULT)); in TEST_IMPL()
335 ASSERT_OK(uv_timer_init(uv_default_loop(), &timer_handle)); in TEST_IMPL()
336 ASSERT_OK(uv_timer_start(&timer_handle, timer_run_once_timer_cb, 0, 0)); in TEST_IMPL()
337 ASSERT_OK(uv_run(uv_default_loop(), UV_RUN_ONCE)); in TEST_IMPL()
340 ASSERT_OK(uv_timer_start(&timer_handle, timer_run_once_timer_cb, 1, 0)); in TEST_IMPL()
341 ASSERT_OK(uv_run(uv_default_loop(), UV_RUN_ONCE)); in TEST_IMPL()
345 ASSERT_OK(uv_run(uv_default_loop(), UV_RUN_ONCE)); in TEST_IMPL()
355 ASSERT_OK(uv_timer_init(uv_default_loop(), &handle)); in TEST_IMPL()
368 ASSERT_OK(uv_timer_init(uv_default_loop(), &handle)); in TEST_IMPL()
391 ASSERT_OK(uv_timer_init(uv_default_loop(), &timer_handle)); in TEST_IMPL()
392 ASSERT_OK(uv_timer_start(&timer_handle, in TEST_IMPL()
396 ASSERT_OK(uv_run(uv_default_loop(), UV_RUN_DEFAULT)); in TEST_IMPL()
399 ASSERT_OK(uv_run(uv_default_loop(), UV_RUN_DEFAULT)); in TEST_IMPL()
413 ASSERT_OK(uv_timer_init(uv_default_loop(), &timer_handle)); in TEST_IMPL()
414 ASSERT_OK(uv_timer_start(&timer_handle, in TEST_IMPL()
430 ASSERT_OK(uv_timer_init(uv_default_loop(), &timer_handle)); in TEST_IMPL()
431 ASSERT_OK(uv_timer_start(&timer_handle, in TEST_IMPL()
446 ASSERT_OK(uv_timer_init(uv_default_loop(), &timer_handle)); in TEST_IMPL()
447 ASSERT_OK(uv_timer_start(&timer_handle, (uv_timer_cb) abort, 0, 0)); in TEST_IMPL()
449 ASSERT_OK(uv_run(uv_default_loop(), UV_RUN_DEFAULT)); in TEST_IMPL()