Lines Matching refs:ASSERT_OK

80     ASSERT_OK(uv_sem_init(pause_sems + i, 0));  in saturate_threadpool()
81 ASSERT_OK(uv_queue_work(loop, pause_reqs + i, work_cb, done_cb)); in saturate_threadpool()
212 ASSERT_OK(r); in TEST_IMPL()
215 ASSERT_OK(r); in TEST_IMPL()
218 ASSERT_OK(r); in TEST_IMPL()
221 ASSERT_OK(r); in TEST_IMPL()
223 ASSERT_OK(uv_timer_init(loop, &ci.timer_handle)); in TEST_IMPL()
224 ASSERT_OK(uv_timer_start(&ci.timer_handle, timer_cb, 10, 0)); in TEST_IMPL()
225 ASSERT_OK(uv_run(loop, UV_RUN_DEFAULT)); in TEST_IMPL()
241 ASSERT_OK(r); in TEST_IMPL()
248 ASSERT_OK(r); in TEST_IMPL()
251 ASSERT_OK(r); in TEST_IMPL()
254 ASSERT_OK(r); in TEST_IMPL()
257 ASSERT_OK(r); in TEST_IMPL()
259 ASSERT_OK(uv_timer_init(loop, &ci.timer_handle)); in TEST_IMPL()
260 ASSERT_OK(uv_timer_start(&ci.timer_handle, timer_cb, 10, 0)); in TEST_IMPL()
261 ASSERT_OK(uv_run(loop, UV_RUN_DEFAULT)); in TEST_IMPL()
275 ASSERT_OK(uv_random(loop, in TEST_IMPL()
281 ASSERT_OK(uv_cancel((uv_req_t*) &req)); in TEST_IMPL()
282 ASSERT_OK(done_cb_called); in TEST_IMPL()
284 ASSERT_OK(uv_run(loop, UV_RUN_DEFAULT)); in TEST_IMPL()
303 ASSERT_OK(uv_queue_work(loop, reqs + i, work2_cb, done2_cb)); in TEST_IMPL()
305 ASSERT_OK(uv_timer_init(loop, &ci.timer_handle)); in TEST_IMPL()
306 ASSERT_OK(uv_timer_start(&ci.timer_handle, timer_cb, 10, 0)); in TEST_IMPL()
307 ASSERT_OK(uv_run(loop, UV_RUN_DEFAULT)); in TEST_IMPL()
330 ASSERT_OK(uv_fs_chmod(loop, reqs + n++, "/", 0, fs_cb)); in TEST_IMPL()
331 ASSERT_OK(uv_fs_chown(loop, reqs + n++, "/", 0, 0, fs_cb)); in TEST_IMPL()
332 ASSERT_OK(uv_fs_close(loop, reqs + n++, 0, fs_cb)); in TEST_IMPL()
333 ASSERT_OK(uv_fs_fchmod(loop, reqs + n++, 0, 0, fs_cb)); in TEST_IMPL()
334 ASSERT_OK(uv_fs_fchown(loop, reqs + n++, 0, 0, 0, fs_cb)); in TEST_IMPL()
335 ASSERT_OK(uv_fs_fdatasync(loop, reqs + n++, 0, fs_cb)); in TEST_IMPL()
336 ASSERT_OK(uv_fs_fstat(loop, reqs + n++, 0, fs_cb)); in TEST_IMPL()
337 ASSERT_OK(uv_fs_fsync(loop, reqs + n++, 0, fs_cb)); in TEST_IMPL()
338 ASSERT_OK(uv_fs_ftruncate(loop, reqs + n++, 0, 0, fs_cb)); in TEST_IMPL()
339 ASSERT_OK(uv_fs_futime(loop, reqs + n++, 0, 0, 0, fs_cb)); in TEST_IMPL()
340 ASSERT_OK(uv_fs_link(loop, reqs + n++, "/", "/", fs_cb)); in TEST_IMPL()
341 ASSERT_OK(uv_fs_lstat(loop, reqs + n++, "/", fs_cb)); in TEST_IMPL()
342 ASSERT_OK(uv_fs_mkdir(loop, reqs + n++, "/", 0, fs_cb)); in TEST_IMPL()
343 ASSERT_OK(uv_fs_open(loop, reqs + n++, "/", 0, 0, fs_cb)); in TEST_IMPL()
344 ASSERT_OK(uv_fs_read(loop, reqs + n++, -1, &iov, 1, 0, fs_cb)); in TEST_IMPL()
345 ASSERT_OK(uv_fs_scandir(loop, reqs + n++, "/", 0, fs_cb)); in TEST_IMPL()
346 ASSERT_OK(uv_fs_readlink(loop, reqs + n++, "/", fs_cb)); in TEST_IMPL()
347 ASSERT_OK(uv_fs_realpath(loop, reqs + n++, "/", fs_cb)); in TEST_IMPL()
348 ASSERT_OK(uv_fs_rename(loop, reqs + n++, "/", "/", fs_cb)); in TEST_IMPL()
349 ASSERT_OK(uv_fs_mkdir(loop, reqs + n++, "/", 0, fs_cb)); in TEST_IMPL()
350 ASSERT_OK(uv_fs_sendfile(loop, reqs + n++, 0, 0, 0, 0, fs_cb)); in TEST_IMPL()
351 ASSERT_OK(uv_fs_stat(loop, reqs + n++, "/", fs_cb)); in TEST_IMPL()
352 ASSERT_OK(uv_fs_symlink(loop, reqs + n++, "/", "/", 0, fs_cb)); in TEST_IMPL()
353 ASSERT_OK(uv_fs_unlink(loop, reqs + n++, "/", fs_cb)); in TEST_IMPL()
354 ASSERT_OK(uv_fs_utime(loop, reqs + n++, "/", 0, 0, fs_cb)); in TEST_IMPL()
355 ASSERT_OK(uv_fs_write(loop, reqs + n++, -1, &iov, 1, 0, fs_cb)); in TEST_IMPL()
358 ASSERT_OK(uv_timer_init(loop, &ci.timer_handle)); in TEST_IMPL()
359 ASSERT_OK(uv_timer_start(&ci.timer_handle, timer_cb, 10, 0)); in TEST_IMPL()
360 ASSERT_OK(uv_run(loop, UV_RUN_DEFAULT)); in TEST_IMPL()
376 ASSERT_OK(uv_queue_work(loop, &req, (uv_work_cb) abort, nop_done_cb)); in TEST_IMPL()
377 ASSERT_OK(uv_cancel((uv_req_t*) &req)); in TEST_IMPL()
378 ASSERT_OK(done_cb_called); in TEST_IMPL()
380 ASSERT_OK(uv_run(loop, UV_RUN_DEFAULT)); in TEST_IMPL()
389 ASSERT_OK(status); in after_busy_cb()
405 ASSERT_OK(uv_sem_init(&sem_lock, 0)); in TEST_IMPL()
406 ASSERT_OK(uv_queue_work(uv_default_loop(), &req, busy_cb, after_busy_cb)); in TEST_IMPL()
411 ASSERT_OK(uv_run(uv_default_loop(), UV_RUN_DEFAULT)); in TEST_IMPL()