Lines Matching refs:ASSERT_OK

54   ASSERT_OK(status);  in socket_cb()
69 ASSERT_OK(uv_loop_init(&loop)); in run_timer_loop_once()
73 ASSERT_OK(uv_timer_init(&loop, &timer_handle)); in run_timer_loop_once()
74 ASSERT_OK(uv_timer_start(&timer_handle, timer_cb, 1, 0)); in run_timer_loop_once()
75 ASSERT_OK(uv_run(&loop, UV_RUN_DEFAULT)); in run_timer_loop_once()
77 ASSERT_OK(uv_loop_close(&loop)); in run_timer_loop_once()
93 ASSERT_OK(WEXITSTATUS(child_stat)); in assert_wait_child()
119 ASSERT_OK(uv_loop_fork(uv_default_loop())); in TEST_IMPL()
138 ASSERT_OK(socketpair(AF_UNIX, SOCK_STREAM, 0, socket_fds)); in TEST_IMPL()
141 ASSERT_OK(uv_poll_init(uv_default_loop(), &poll_handle, socket_fds[0])); in TEST_IMPL()
156 ASSERT_OK(uv_loop_fork(uv_default_loop())); in TEST_IMPL()
157 ASSERT_OK(socket_cb_called); in TEST_IMPL()
158 ASSERT_OK(uv_poll_start(&poll_handle, UV_READABLE, socket_cb)); in TEST_IMPL()
160 ASSERT_OK(uv_run(uv_default_loop(), UV_RUN_DEFAULT)); in TEST_IMPL()
179 ASSERT_OK(pipe(sync_pipe)); in TEST_IMPL()
184 ASSERT_OK(socketpair(AF_UNIX, SOCK_STREAM, 0, socket_fds)); in TEST_IMPL()
187 ASSERT_OK(uv_poll_init(uv_default_loop(), &poll_handle, socket_fds[0])); in TEST_IMPL()
188 ASSERT_OK(uv_poll_start(&poll_handle, UV_READABLE, socket_cb)); in TEST_IMPL()
205 ASSERT_OK(uv_poll_stop(&poll_handle)); in TEST_IMPL()
207 ASSERT_OK(uv_run(uv_default_loop(), UV_RUN_DEFAULT)); in TEST_IMPL()
208 ASSERT_OK(socket_cb_called); in TEST_IMPL()
212 ASSERT_OK(uv_run(uv_default_loop(), UV_RUN_DEFAULT)); in TEST_IMPL()
213 ASSERT_OK(socket_cb_called); in TEST_IMPL()
220 ASSERT_OK(uv_loop_fork(uv_default_loop())); in TEST_IMPL()
221 ASSERT_OK(socket_cb_called); in TEST_IMPL()
226 ASSERT_OK(uv_run(uv_default_loop(), UV_RUN_DEFAULT)); in TEST_IMPL()
229 ASSERT_OK(strcmp("hi\n", socket_cb_read_buf)); in TEST_IMPL()
256 ASSERT_OK(pipe(sync_pipe)); in TEST_IMPL()
261 ASSERT_OK(uv_signal_init(uv_default_loop(), &signal_handle)); in TEST_IMPL()
262 ASSERT_OK(uv_signal_start(&signal_handle, in TEST_IMPL()
276 ASSERT_OK(kill(child_pid, SIGUSR1)); in TEST_IMPL()
280 ASSERT_OK(uv_run(uv_default_loop(), UV_RUN_NOWAIT)); in TEST_IMPL()
281 ASSERT_OK(fork_signal_cb_called); in TEST_IMPL()
286 ASSERT_OK(uv_loop_fork(uv_default_loop())); in TEST_IMPL()
291 ASSERT_OK(uv_run(uv_default_loop(), UV_RUN_ONCE)); in TEST_IMPL()
313 ASSERT_OK(pipe(sync_pipe)); in TEST_IMPL()
314 ASSERT_OK(pipe(sync_pipe2)); in TEST_IMPL()
319 ASSERT_OK(uv_signal_init(uv_default_loop(), &signal_handle)); in TEST_IMPL()
320 ASSERT_OK(uv_signal_start(&signal_handle, in TEST_IMPL()
336 ASSERT_OK(kill(child_pid, SIGUSR1)); in TEST_IMPL()
343 ASSERT_OK(uv_run(uv_default_loop(), UV_RUN_ONCE)); in TEST_IMPL()
345 ASSERT_OK(fork_signal_cb_called); in TEST_IMPL()
351 ASSERT_OK(uv_loop_fork(uv_default_loop())); in TEST_IMPL()
363 ASSERT_OK(fork_signal_cb_called); in TEST_IMPL()
388 ASSERT_OK(uv_loop_init(&loop)); in TEST_IMPL()
389 ASSERT_OK(uv_signal_init(&loop, &signal_handle)); in TEST_IMPL()
390 ASSERT_OK(uv_signal_start(&signal_handle, &fork_signal_cb, SIGHUP)); in TEST_IMPL()
392 ASSERT_OK(kill(getpid(), SIGHUP)); in TEST_IMPL()
395 ASSERT_OK(fork_signal_cb_called); in TEST_IMPL()
402 ASSERT_OK(fork_signal_cb_called); in TEST_IMPL()
410 ASSERT_OK(uv_run(&loop, UV_RUN_DEFAULT)); in TEST_IMPL()
430 ASSERT_OK(r); in create_file()
452 ASSERT_OK(r); in touch_file()
472 ASSERT_OK(fs_event_cb_called); in fs_event_cb_file_current_dir()
474 ASSERT_OK(status); in fs_event_cb_file_current_dir()
476 ASSERT_OK(strcmp(filename, "watch_file")); in fs_event_cb_file_current_dir()
494 ASSERT_OK(r); in assert_watch_file_current_dir()
501 ASSERT_OK(r); in assert_watch_file_current_dir()
504 ASSERT_OK(r); in assert_watch_file_current_dir()
507 ASSERT_OK(r); in assert_watch_file_current_dir()
509 ASSERT_OK(timer_cb_touch_called); in assert_watch_file_current_dir()
510 ASSERT_OK(fs_event_cb_called); in assert_watch_file_current_dir()
556 ASSERT_OK(uv_loop_close(&loop)); in _do_fork_fs_events_child()
559 ASSERT_OK(uv_loop_fork(uv_default_loop())); in _do_fork_fs_events_child()
572 ASSERT_OK(uv_loop_close(uv_default_loop())); in _do_fork_fs_events_child()
635 ASSERT_OK(r); in TEST_IMPL()
640 ASSERT_OK(r); in TEST_IMPL()
643 ASSERT_OK(r); in TEST_IMPL()
657 ASSERT_OK(uv_loop_fork(loop)); in TEST_IMPL()
660 ASSERT_OK(r); in TEST_IMPL()
662 ASSERT_OK(timer_cb_touch_called); in TEST_IMPL()
663 ASSERT_OK(fs_event_cb_called); in TEST_IMPL()
694 ASSERT_OK(status); in after_work_cb()
703 ASSERT_OK(work_cb_count); in assert_run_work()
704 ASSERT_OK(after_work_cb_count); in assert_run_work()
707 ASSERT_OK(r); in assert_run_work()
754 ASSERT_OK(uv_loop_fork(uv_default_loop())); in TEST_IMPL()