Lines Matching refs:int

5 /* Prototype  : int strncasecmp ( string $str1, string $str2, int $len );
22 var_dump( strncasecmp($str1, $str2, 8) ); //comparing all the 8-bits; expected: int(0)
23 var_dump( strncasecmp($str1, $str2, 4) ); //comparing only 4-bits; expected: int(0)
30 var_dump( strncasecmp($str1, $str2, 8) ); //comparing all the 8-bits; expected: int(1)
39 int(0)
40 int(0)
42 int(0)
43 int(0)
45 int(0)
46 int(0)
48 int(0)
49 int(0)
51 int(0)
52 int(0)
54 int(0)
55 int(0)
57 int(0)
58 int(0)
60 int(0)
61 int(0)
63 int(0)
64 int(0)
66 int(0)
67 int(0)
69 int(0)
70 int(0)
72 int(0)
73 int(0)
75 int(0)
76 int(0)
78 int(0)
79 int(0)
81 int(0)
82 int(0)
84 int(0)
85 int(0)
87 int(0)
88 int(0)
90 int(0)
91 int(0)
93 int(0)
94 int(0)
96 int(0)
97 int(0)
99 int(0)
100 int(0)
102 int(0)
103 int(0)
105 int(0)
106 int(0)
108 int(0)
109 int(0)
111 int(0)
112 int(0)
114 int(0)
115 int(0)
117 int(0)
118 int(0)
120 int(0)
121 int(0)
123 int(0)
124 int(0)
126 int(0)
127 int(0)
129 int(0)
130 int(0)
132 int(0)
133 int(0)
135 int(0)
136 int(0)
138 int(0)
139 int(0)
141 int(0)
142 int(0)
144 int(0)
145 int(0)
147 int(0)
148 int(0)
150 int(0)
151 int(0)
153 int(0)
154 int(0)
156 int(0)
157 int(0)
159 int(0)
160 int(0)
162 int(0)
163 int(0)
165 int(0)
166 int(0)
168 int(0)
169 int(0)
171 int(0)
172 int(0)
174 int(0)
175 int(0)
177 int(0)
178 int(0)
180 int(0)
181 int(0)
183 int(0)
184 int(0)
186 int(0)
187 int(0)
189 int(0)
190 int(0)
192 int(0)
193 int(0)
195 int(0)
196 int(0)
198 int(0)
199 int(0)
201 int(0)
202 int(0)
204 int(0)
205 int(0)
207 int(0)
208 int(0)
210 int(0)
211 int(0)
213 int(0)
214 int(0)
216 int(0)
217 int(0)
219 int(0)
220 int(0)
222 int(0)
223 int(0)
225 int(0)
226 int(0)
228 int(0)
229 int(0)
231 int(0)
232 int(0)
234 int(0)
235 int(0)
237 int(0)
238 int(0)
240 int(0)
241 int(0)
243 int(0)
244 int(0)
246 int(0)
247 int(0)
249 int(0)
250 int(0)
252 int(0)
253 int(0)
255 int(0)
256 int(0)
258 int(0)
259 int(0)
261 int(0)
262 int(0)
264 int(0)
265 int(0)
267 int(0)
268 int(0)
270 int(0)
271 int(0)
273 int(0)
274 int(0)
276 int(0)
277 int(0)
279 int(0)
280 int(0)
282 int(0)
283 int(0)
285 int(0)
286 int(0)
288 int(0)
289 int(0)
291 int(0)
292 int(0)
294 int(0)
295 int(0)
297 int(0)
298 int(0)
300 int(0)
301 int(0)
303 int(0)
304 int(0)
306 int(0)
307 int(0)
309 int(0)
310 int(0)
312 int(0)
313 int(0)
315 int(0)
316 int(0)
318 int(0)
319 int(0)
321 int(0)
322 int(0)
324 int(0)
325 int(0)
327 int(0)
328 int(0)
330 int(0)
331 int(0)
333 int(0)
334 int(0)
336 int(0)
337 int(0)
339 int(0)
340 int(0)
342 int(0)
343 int(0)
345 int(0)
346 int(0)
348 int(0)
349 int(0)
351 int(0)
352 int(0)
354 int(0)
355 int(0)
357 int(0)
358 int(0)
360 int(0)
361 int(0)
363 int(0)
364 int(0)
366 int(0)
367 int(0)
369 int(0)
370 int(0)
372 int(0)
373 int(0)
375 int(0)
376 int(0)
378 int(0)
379 int(0)
381 int(0)
382 int(0)
384 int(0)
385 int(0)
387 int(0)
388 int(0)
390 int(0)
391 int(0)
393 int(0)
394 int(0)
396 int(0)
397 int(0)
399 int(0)
400 int(0)
402 int(0)
403 int(0)
405 int(0)
406 int(0)
408 int(0)
409 int(0)
411 int(0)
412 int(0)
414 int(0)
415 int(0)
417 int(0)
418 int(0)
420 int(0)
421 int(0)
423 int(0)
424 int(0)
426 int(0)
427 int(0)
429 int(0)
430 int(0)
432 int(0)
433 int(0)
435 int(0)
436 int(0)
438 int(0)
439 int(0)
441 int(0)
442 int(0)
444 int(0)
445 int(0)
447 int(0)
448 int(0)
450 int(0)
451 int(0)
453 int(0)
454 int(0)
456 int(0)
457 int(0)
459 int(0)
460 int(0)
462 int(0)
463 int(0)
465 int(0)
466 int(0)
468 int(0)
469 int(0)
471 int(0)
472 int(0)
474 int(0)
475 int(0)
477 int(0)
478 int(0)
480 int(0)
481 int(0)
483 int(0)
484 int(0)
486 int(0)
487 int(0)
489 int(0)
490 int(0)
492 int(0)
493 int(0)
495 int(0)
496 int(0)
498 int(0)
499 int(0)
501 int(0)
502 int(0)
504 int(0)
505 int(0)
507 int(0)
508 int(0)
510 int(0)
511 int(0)
513 int(0)
514 int(0)
516 int(0)
517 int(0)
519 int(0)
520 int(0)
522 int(0)
523 int(0)
525 int(0)
526 int(0)
528 int(0)
529 int(0)
531 int(0)
532 int(0)
534 int(0)
535 int(0)
537 int(0)
538 int(0)
540 int(0)
541 int(0)
543 int(0)
544 int(0)
546 int(0)
547 int(0)
549 int(0)
550 int(0)
552 int(0)
553 int(0)
555 int(0)
556 int(0)
558 int(0)
559 int(0)
561 int(0)
562 int(0)
564 int(0)
565 int(0)
567 int(0)
568 int(0)
570 int(0)
571 int(0)
573 int(0)
574 int(0)
576 int(0)
577 int(0)
579 int(0)
580 int(0)
582 int(0)
583 int(0)
585 int(0)
586 int(0)
588 int(0)
589 int(0)
591 int(0)
592 int(0)
594 int(0)
595 int(0)
597 int(0)
598 int(0)
600 int(0)
601 int(0)
603 int(0)
604 int(0)
606 int(0)
607 int(0)
609 int(0)
610 int(0)
612 int(0)
613 int(0)
615 int(0)
616 int(0)
618 int(0)
619 int(0)
621 int(0)
622 int(0)
624 int(0)
625 int(0)
627 int(0)
628 int(0)
630 int(0)
631 int(0)
633 int(0)
634 int(0)
636 int(0)
637 int(0)
639 int(0)
640 int(0)
642 int(0)
643 int(0)
645 int(0)
646 int(0)
648 int(0)
649 int(0)
651 int(0)
652 int(0)
654 int(0)
655 int(0)
657 int(0)
658 int(0)
660 int(0)
661 int(0)
663 int(0)
664 int(0)
666 int(0)
667 int(0)
669 int(0)
670 int(0)
672 int(0)
673 int(0)
675 int(0)
676 int(0)
678 int(0)
679 int(0)
681 int(0)
682 int(0)
684 int(0)
685 int(0)
687 int(0)
688 int(0)
690 int(0)
691 int(0)
693 int(0)
694 int(0)
696 int(0)
697 int(0)
699 int(0)
700 int(0)
702 int(0)
703 int(0)
705 int(0)
706 int(0)
708 int(0)
709 int(0)
711 int(0)
712 int(0)
714 int(0)
715 int(0)
717 int(0)
718 int(0)
720 int(0)
721 int(0)
723 int(0)
724 int(0)
726 int(0)
727 int(0)
729 int(0)
730 int(0)
732 int(0)
733 int(0)
735 int(0)
736 int(0)
738 int(0)
739 int(0)
741 int(0)
742 int(0)
744 int(0)
745 int(0)
747 int(0)
748 int(0)
750 int(0)
751 int(0)
753 int(0)
754 int(0)
756 int(0)
757 int(0)
759 int(0)
760 int(0)
762 int(0)
763 int(0)
765 int(0)
766 int(0)
768 int(0)
769 int(0)
771 int(0)
772 int(0)
774 int(0)
775 int(0)
777 int(0)
778 int(0)
780 int(0)
781 int(0)
783 int(0)
784 int(0)
786 int(0)
787 int(0)
789 int(0)
790 int(0)
792 int(0)
793 int(0)
795 int(0)
796 int(0)
798 int(0)
799 int(0)
801 int(0)
802 int(0)
804 int(0)
805 int(0)
808 int(1)