Home
last modified time | relevance | path

Searched refs:bit (Results 101 – 125 of 526) sorted by relevance

12345678910>>...22

/php-src/ext/standard/tests/math/
H A Drad2deg_basiclong_64bit.phpt2 Test rad2deg function : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Dround_basiclong_64bit.phpt2 Test round function : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Dsqrt_basiclong_64bit.phpt2 Test sqrt function : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Dacos_basiclong_64bit.phpt2 Test acos function : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Dasin_basiclong_64bit.phpt2 Test asin function : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Datanh_basiclong_64bit.phpt2 Test atanh function : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Dcosh_basiclong_64bit.phpt2 Test cosh function : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Ddecbin_basiclong_64bit.phpt2 Test decbin function : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Ddecoct_basiclong_64bit.phpt2 Test decoct function : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Dis_finite_basiclong_64bit.phpt2 Test is_finite function : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Dis_infinite_basiclong_64bit.phpt2 Test is_infinite function : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Dis_nan_basiclong_64bit.phpt2 Test is_nan function : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Dsinh_basiclong_64bit.phpt2 Test sinh function : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Ddechex_basiclong_64bit.phpt2 Test dechex function : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
/php-src/ext/mysqli/tests/
H A Dbug75018.phpt2 Bug #75018 Data corruption when reading fields of bit type
19 $sql = "CREATE TABLE $tbl (bit_column_1 bit(16) NOT NULL) DEFAULT CHARSET=utf8";
/php-src/ext/pcre/pcre2lib/
H A Dpcre2_jit_simd_inc.h253 sljit_u32 bit = 0; in fast_forward_char_simd() local
265 bit = 0; in fast_forward_char_simd()
397 bit = 0; in fast_requested_char_simd()
1259 bit = 0; in fast_forward_char_simd()
1284 instruction[1] = (sljit_u16)(bit != 0 ? bit : char2); in fast_forward_char_simd()
1455 bit = 0; in fast_requested_char_simd()
1478 instruction[1] = (sljit_u16)(bit != 0 ? bit : char2); in fast_requested_char_simd()
1944 bit = 0; in fast_forward_char_simd()
1962 OP1(SLJIT_MOV, TMP1, 0, SLJIT_IMM, bit != 0 ? bit : char2); in fast_forward_char_simd()
2075 bit = 0; in fast_requested_char_simd()
[all …]
/php-src/ext/mbstring/tests/
H A Dmb_get_info.phpt45 string(4) "7bit"
80 string(4) "7bit"
81 string(4) "7bit"
H A Dmb_internal_encoding_variation2.phpt52 '7bit',
53 '8bit',
269 string(4) "7bit"
272 string(4) "7bit"
274 string(4) "8bit"
277 string(4) "8bit"
/php-src/Zend/
H A Dzend_bitset.h266 #define ZEND_BITSET_FOREACH(set, len, bit) do { \ argument
272 (bit) = ZEND_BITSET_ELM_SIZE * 8 * _i; \
273 for (; _x != 0; _x >>= Z_UL(1), (bit)++) { \
276 #define ZEND_BITSET_REVERSE_FOREACH(set, len, bit) do { \ argument
283 (bit) = ZEND_BITSET_ELM_SIZE * 8 * (_i + 1) - 1; \
284 for (; _x != 0; _x <<= Z_UL(1), (bit)--) { \
/php-src/tests/lang/operators/
H A DbitwiseNot_basiclong_64bit.phpt2 Test ~N operator : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Doperator_gt_variation_64bit.phpt2 Test > operator : max int 64bit range
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Doperator_lt_variation_64bit.phpt2 Test < operator : max int 64bit range
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
/php-src/ext/standard/tests/array/range/
H A Dbug41121.phpt2 Bug #41121 (range() overflow handling for large numbers on 32bit machines)
6 <?php if (PHP_INT_SIZE != 4) die("skip this test is for 32bit platform only"); ?>
/php-src/ext/standard/tests/strings/
H A Dsubstr_count_variation_002.phpt7 echo "\n-- complex strings containing other than 7-bit chars --\n";
40 -- complex strings containing other than 7-bit chars --
/php-src/ext/standard/tests/file/
H A Dbug78241.phpt2 Bug #78241 (touch() does not handle dates after 2038 in PHP 64-bit)
6 if (PHP_INT_SIZE != 8) die('skip this test is for 64bit platforms only');

Completed in 42 milliseconds

12345678910>>...22