Home
last modified time | relevance | path

Searched refs:bit (Results 51 – 75 of 487) sorted by relevance

12345678910>>...20

/PHP-7.4/ext/intl/tests/
H A Dsymfony_format_type_int32_intl3.phpt10 …i:3;s:83:"->format() TYPE_INT32 formats inconsistently an integer if out of the 32 bit range.";}');
30 string(83) "->format() TYPE_INT32 formats inconsistently an integer if out of the 32 bit range."
H A Dsymfony_format_type_int32_intl6.phpt10 …i:3;s:83:"->format() TYPE_INT32 formats inconsistently an integer if out of the 32 bit range.";}');
30 string(83) "->format() TYPE_INT32 formats inconsistently an integer if out of the 32 bit range."
H A Dsymfony_format_type_int32_intl7.phpt10 …i:3;s:83:"->format() TYPE_INT32 formats inconsistently an integer if out of the 32 bit range.";}');
30 string(83) "->format() TYPE_INT32 formats inconsistently an integer if out of the 32 bit range."
/PHP-7.4/ext/pdo_sqlite/tests/
H A Dbug_63916.phpt2 Bug #63916 PDO::PARAM_INT casts to 32bit int internally even on 64bit builds in pdo_sqlite
/PHP-7.4/ext/standard/tests/math/
H A Dhexdec_basiclong_64bit.phpt2 Test hexdec function : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Dasinh_basiclong_64bit.phpt2 Test asinh function : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Datan_basiclong_64bit.phpt2 Test atan function : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Dcos_basiclong_64bit.phpt2 Test cos function : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Ddecbin_basiclong_64bit.phpt2 Test decbin function : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Ddecoct_basiclong_64bit.phpt2 Test decoct function : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Dsin_basiclong_64bit.phpt2 Test sin function : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Dtanh_basiclong_64bit.phpt2 Test tanh function : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Dfloor_basiclong_64bit.phpt2 Test floor function : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Dtan_basiclong_64bit.phpt2 Test tan function : 64bit long tests
7 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Dabs_basiclong_64bit.phpt2 Test abs function : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Dacosh_basiclong_64bit.phpt2 Test acosh function : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Dceil_basiclong_64bit.phpt2 Test ceil function : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Ddeg2rad_basiclong_64bit.phpt2 Test deg2rad function : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
/PHP-7.4/ext/date/tests/
H A Dbug52062.phpt2 Bug #52062 (large timestamps with DateTime::getTimestamp and DateTime::setTimestamp) (32 bit)
5 if (PHP_INT_SIZE != 4) die('skip 32-bit only');
/PHP-7.4/tests/lang/operators/
H A DbitwiseNot_basiclong_64bit.phpt2 Test ~N operator : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Dnegate_basiclong_64bit.phpt2 Test -N operator : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Dpostdec_basiclong_64bit.phpt2 Test N-- operator : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Dpostinc_basiclong_64bit.phpt2 Test N++ operator : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Dpredec_basiclong_64bit.phpt2 Test --N operator : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Dpreinc_basiclong_64bit.phpt2 Test ++N operator : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");

Completed in 32 milliseconds

12345678910>>...20