Home
last modified time | relevance | path

Searched refs:bit (Results 176 – 200 of 487) sorted by relevance

12345678910>>...20

/PHP-7.4/ext/xmlrpc/tests/
H A Dbug70728_64bit.phpt6 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
/PHP-7.4/ext/standard/tests/strings/
H A Dwordwrap_memory_limit_win32.phpt5 if (substr(PHP_OS, 0, 3) != 'WIN' || PHP_INT_SIZE != 4) die("skip this test is for 32bit Windows pl…
H A Dwordwrap_memory_limit.phpt5 if (substr(PHP_OS, 0, 3) == 'WIN' && PHP_INT_SIZE == 4) die("skip this test is not for 32bit Window…
H A Dfprintf_variation_003.phpt5 if (PHP_INT_SIZE != 4) die("skip this test is for 32bit platform only");
H A Dfprintf_variation_003_64bit.phpt5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Dfprintf_variation_006.phpt5 if (PHP_INT_SIZE != 4) die("skip this test is for 32bit platform only");
H A Dfprintf_variation_006_64bit.phpt5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Dfprintf_variation_007.phpt5 if (PHP_INT_SIZE != 4) die("skip this test is for 32bit platform only");
H A Dfprintf_variation_007_64bit.phpt5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Dquoted_printable_decode_basic.phpt6 * Description: Convert a quoted-printable string to an 8 bit string
H A Dfprintf_variation_008.phpt5 if (PHP_INT_SIZE != 4) die("skip this test is for 32bit platform only");
H A Dfprintf_variation_008_64bit.phpt5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
/PHP-7.4/Zend/tests/
H A Ddouble_to_string.phpt6 <?php if (PHP_INT_SIZE != 4) die("skip this test is for 32bit platform only"); ?>
H A Ddecrement_001.phpt4 <?php if (PHP_INT_SIZE != 4) die("skip this test is for 32bit platform only"); ?>
H A Ddecrement_001_64bit.phpt4 <?php if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only"); ?>
H A Dincrement_001.phpt4 <?php if (PHP_INT_SIZE != 4) die("skip this test is for 32bit platform only"); ?>
/PHP-7.4/ext/openssl/tests/
H A Dbug52093.phpt6 if (PHP_INT_SIZE !== 8) die("skip this test is for 64bit platforms only");
/PHP-7.4/ext/mysqli/tests/
H A Dbug73800.phpt7 if (PHP_INT_SIZE != 8) die('skip requires 64-bit');
H A Dbug67983.phpt2 Bug #67983: mysqlnd with MYSQLI_OPT_INT_AND_FLOAT_NATIVE fails to interpret bit columns
/PHP-7.4/ext/intl/tests/
H A Dbug53512.phpt5 if (PHP_INT_SIZE != 8) die('skip 64-bit only');
/PHP-7.4/ext/standard/tests/array/
H A Drange_variation1.phpt7 if (PHP_INT_SIZE != 4) die("skip this test is for 32bit platform only");
H A Drange_variation1_64bit.phpt7 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
/PHP-7.4/ext/com_dotnet/tests/
H A Dbug64130.phpt6 if (PHP_INT_SIZE != 4) die('skip for 32bit platforms only');
/PHP-7.4/ext/simplexml/tests/
H A DSimpleXMLElement_xpath_3.phpt5 if (PHP_INT_SIZE != 4) die("skip this test is for 32bit platforms only");
/PHP-7.4/ext/session/tests/
H A Dbug42596.phpt2 Bug #42596 (session.save_path MODE option will not set "write" bit for group or world)

Completed in 28 milliseconds

12345678910>>...20