Home
last modified time | relevance | path

Searched refs:var_cnt (Results 1 – 15 of 15) sorted by relevance

/PHP-7.1/ext/mysqli/
H A Dmysqli_api.c193 if (stmt->param.var_cnt) { in mysqli_stmt_bind_param_do_bind()
250 stmt->param.var_cnt = num_vars; in mysqli_stmt_bind_param_do_bind()
394 int var_cnt = argc; in mysqli_stmt_bind_result_do_bind() local
399 if (stmt->result.var_cnt) { in mysqli_stmt_bind_result_do_bind()
412 for (i = 0; i < var_cnt; i++) { in mysqli_stmt_bind_result_do_bind()
548 for (i=0; i < var_cnt ; i++) { in mysqli_stmt_bind_result_do_bind()
556 stmt->result.var_cnt = var_cnt; in mysqli_stmt_bind_result_do_bind()
558 for (i = 0; i < var_cnt; i++) { in mysqli_stmt_bind_result_do_bind()
875 if (stmt->param.var_cnt) {
877 for (i = 0; i < stmt->param.var_cnt; i++) {
[all …]
H A Dphp_mysqli_structs.h108 unsigned int var_cnt; member
H A Dmysqli.c119 if (!bbuf.var_cnt) { in php_free_stmt_bind_buffer()
123 for (i=0; i < bbuf.var_cnt; i++) { in php_free_stmt_bind_buffer()
149 bbuf.var_cnt = 0; in php_free_stmt_bind_buffer()
/PHP-7.1/Zend/tests/
H A Dcompare_002.phpt31 $var_cnt = count($a);
41 for ($i = 0; $i < $var_cnt; $i++) {
H A Dcompare_002_64bit.phpt31 $var_cnt = count($a);
41 for ($i = 0; $i < $var_cnt; $i++) {
H A Dcompare_001.phpt31 $var_cnt = count($a);
41 for ($i = 0; $i < $var_cnt; $i++) {
H A Dcompare_003.phpt31 $var_cnt = count($a);
41 for ($i = 0; $i < $var_cnt; $i++) {
H A Dcompare_004.phpt31 $var_cnt = count($a);
41 for ($i = 0; $i < $var_cnt; $i++) {
H A Dcompare_005.phpt31 $var_cnt = count($a);
41 for ($i = 0; $i < $var_cnt; $i++) {
H A Dcompare_006.phpt31 $var_cnt = count($a);
41 for ($i = 0; $i < $var_cnt; $i++) {
H A Dcompare_001_64bit.phpt31 $var_cnt = count($a);
41 for ($i = 0; $i < $var_cnt; $i++) {
H A Dcompare_003_64bit.phpt31 $var_cnt = count($a);
41 for ($i = 0; $i < $var_cnt; $i++) {
H A Dcompare_004_64bit.phpt31 $var_cnt = count($a);
41 for ($i = 0; $i < $var_cnt; $i++) {
H A Dcompare_005_64bit.phpt31 $var_cnt = count($a);
41 for ($i = 0; $i < $var_cnt; $i++) {
H A Dcompare_006_64bit.phpt31 $var_cnt = count($a);
41 for ($i = 0; $i < $var_cnt; $i++) {

Completed in 66 milliseconds