Home
last modified time | relevance | path

Searched refs:bit (Results 1 – 25 of 416) sorted by relevance

12345678910>>...17

/PHP-5.3/ext/standard/tests/strings/
H A Dbug47842.phpt2 Bug #47842 sscanf() does not support 64-bit values
12 echo "sscanf 32-bit signed int '2147483647' (2^31)-1 = ",$int,"\n";
14 echo "sscanf 32-bit unsign int '4294967295' (2^32)-1 = ",$int,"\n";
17 echo "sscanf 64-bit signed int '9223372036854775807' (2^63)-1 = ",$int,"\n";
19 echo "sscanf 64-bit unsign int '18446744073709551615' (2^64)-1 = ",$int,"\n";
28 sscanf 32-bit signed int '2147483647' (2^31)-1 = 2147483647
29 sscanf 32-bit unsign int '4294967295' (2^32)-1 = 4294967295
30 sscanf 64-bit signed int '9223372036854775807' (2^63)-1 = 9223372036854775807
31 sscanf 64-bit unsign int '18446744073709551615' (2^64)-1 = 18446744073709551615
32 printf 64-bit signed int '9223372036854775807' (2^63)-1 = 9223372036854775807
[all …]
H A Dbug38770.phpt2 Bug #38770 (unpack() broken with longs on 64 bit machines)
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
/PHP-5.3/ext/standard/tests/math/
H A Dbug30695.phpt2 Bug #30695 (32 bit issues)
11 case !($char_code & 0xffffff80): // 7 bit
14 case !($char_code & 0xfffff800): // 11 bit
18 case !($char_code & 0xffff0000): // 16 bit
23 case !($char_code & 0xffe00000): // 21 bit
29 case !($char_code & 0xfc000000): // 26 bit
35 default: // 31 bit
H A Doctdec_basiclong_64bit.phpt2 Test octdec function : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
H A Dbindec_basiclong_64bit.phpt2 Test bindec function : 64bit long tests
5 if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only");
/PHP-5.3/ext/sybase_ct/tests/
H A Dtest_types.phpt30 convert(bit, 1) as "bit",
50 convert(bit, 1) as "bit",
80 ["bit"]=>
/PHP-5.3/ext/gd/tests/
H A Dimageinterlace_variation2.phpt2 Testing setting the interlace bit off with imageinterlace() of GD library
15 //setting the interlace bit to on
18 //setting de interlace bit to off
/PHP-5.3/ext/gd/libgd/
H A Dxbm.c45 int bit, x = 0, y = 0; in gdImageCreateFromXbm() local
139 for (bit = 1; bit <= max_bit; bit = bit << 1) { in gdImageCreateFromXbm()
140 gdImageSetPixel(im, x++, y, (b & bit) ? 1 : 0); in gdImageCreateFromXbm()
/PHP-5.3/ext/mbstring/tests/
H A Dmb_list_encodings.phpt7 var_dump(in_array("7bit", mb_list_encodings()));
8 var_dump(in_array("8bit", mb_list_encodings()));
H A Dmb_encoding_aliases.phpt11 var_dump(mb_encoding_aliases("7bit"));
12 var_dump(mb_encoding_aliases("8bit"));
/PHP-5.3/Zend/tests/
H A Dint_overflow_32bit.phpt2 testing integer overflow (32bit)
4 <?php if (PHP_INT_SIZE != 4) die("skip this test is for 32bit platform only"); ?>
H A Dint_underflow_32bit.phpt2 testing integer underflow (32bit)
4 <?php if (PHP_INT_SIZE != 4) die("skip this test is for 32bit platform only"); ?>
H A Dint_underflow_64bit.phpt2 testing integer underflow (64bit)
4 <?php if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only"); ?>
H A Doct_overflow_32bit.phpt2 testing integer overflow (32bit)
6 <?php if (PHP_INT_SIZE != 4) die("skip this test is for 32bit platform only"); ?>
H A Dhex_overflow_32bit.phpt2 testing integer overflow (32bit)
6 <?php if (PHP_INT_SIZE != 4) die("skip this test is for 32bit platform only"); ?>
H A Dint_overflow_64bit.phpt2 testing integer overflow (64bit)
4 <?php if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only"); ?>
H A Ddouble_to_string_64bit.phpt2 double to string conversion tests (64bit)
4 <?php if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only"); ?>
H A Dbug46701.phpt2 Bug #46701 (Creating associative array with long values in the key fails on 32bit linux)
4 <?php if (PHP_INT_SIZE != 4) die('skip this test is for 32bit platforms only'); ?>
/PHP-5.3/ext/date/tests/
H A Dstrtotime-mysql-64bit.phpt2 strtotime() and mysql timestamps (64 bit)
4 <?php echo PHP_INT_SIZE != 8 ? "skip 64-bit only" : "OK"; ?>
H A Dstrtotime-mysql.phpt2 strtotime() and mysql timestamps (32 bit)
4 <?php echo PHP_INT_SIZE == 8 ? "skip 32-bit only" : "OK"; ?>
H A Dbug52062-64bit.phpt2 Bug #52062 (large timestamps with DateTime::getTimestamp and DateTime::setTimestamp) (64 bit)
5 if (PHP_INT_SIZE == 4) die('skip 64 bit only');
/PHP-5.3/ext/intl/tests/
H A Dbug59597_32.phpt2 Bug#59597 NumberFormatter::parse() with TYPE_INT64 results in a 32 bit integer
5 <?php echo PHP_INT_SIZE == 8 ? "skip 32-bit only" : "OK"; ?>
H A Dbug59597_64.phpt2 Bug#59597 NumberFormatter::parse() with TYPE_INT64 results in a 32 bit integer
5 <?php echo PHP_INT_SIZE != 8 ? "skip 64-bit only" : "OK"; ?>
/PHP-5.3/ext/standard/tests/array/
H A Dbug35014.phpt2 Bug #35014 (array_product() always returns 0) (32bit)
4 <?php if (PHP_INT_SIZE != 4) die("skip this test is for 32bit platform only"); ?>
H A Dbug35014_64bit.phpt2 Bug #35014 (array_product() always returns 0) (64bit)
4 <?php if (PHP_INT_SIZE != 8) die("skip this test is for 64bit platform only"); ?>

Completed in 36 milliseconds

12345678910>>...17