Home
last modified time | relevance | path

Searched refs:end (Results 126 – 150 of 758) sorted by relevance

12345678910>>...31

/PHP-7.3/ext/date/tests/
H A DDatePeriod_properties2.phpt15 "end",
43 Writing to DatePeriod->end is unsupported
44 Retrieval of DatePeriod->end for modification is unsupported
/PHP-7.3/ext/standard/tests/strings/
H A Dchunk_split.phpt8 echo chunk_split("test", 10, "|end") . "\n";
32 test|end
/PHP-7.3/Zend/tests/
H A Dbug32322.phpt45 echo "Class " . $this -> myname . " destroyed at script end\n";
47 echo "Class " . $this -> myname . " destroyed beforce script end\n";
79 Class Singleton1 destroyed at script end
80 Class Singleton2 destroyed at script end
H A Dbug77345_gc_1.phpt38 var_dump('end');
42 string(3) "end"
H A Dbug77345_gc_2.phpt44 var_dump('end');
48 string(3) "end"
/PHP-7.3/ext/pdo_oci/tests/
H A Dbug60994.phpt43 echo 'end of stream1 is ', $ending1, PHP_EOL;
60 echo 'end of stream2 is ', $ending2, PHP_EOL;
77 echo 'end of stream3 is ', $ending3, PHP_EOL;
94 echo 'end of stream4 is ', $ending4, PHP_EOL;
102 end of stream1 is jjjjjjjxyz
108 end of stream2 is £££££££xyz
114 end of stream3 is ҖҖҖҖҖҖҖxyz
120 end of stream4 is のののののののxyz
/PHP-7.3/ext/openssl/tests/
H A Dbug79145.phpt22 $end = memory_get_usage(true);
23 var_dump($end <= 1.1 * $start);
/PHP-7.3/ext/zlib/tests/
H A Dgzseek_variation7.phpt19 //seek to the end which is not sensible of course.
20 echo "move to the end of the file\n";
38 move to the end of the file
/PHP-7.3/Zend/
H A Dzend_opcode.c153 while (p != end) { in zend_cleanup_internal_class_data()
221 while (p != end) { in destroy_zend_class()
231 while (p != end) { in destroy_zend_class()
277 while (p != end) { in destroy_zend_class()
287 while (p != end) { in destroy_zend_class()
372 while (literal < end) { in destroy_op_array()
427 while (opline<end) { in zend_update_extended_info()
429 if (opline+1<end) { in zend_update_extended_info()
435 if (opline+1<end) { in zend_update_extended_info()
508 zend_op *opline, *end; in pass_two() local
[all …]
H A Dzend_objects.c42 zval *p, *end; in zend_object_std_dtor() local
54 end = p + object->ce->default_properties_count; in zend_object_std_dtor()
58 } while (p != end); in zend_object_std_dtor()
188 zval *end = src + old_object->ce->default_properties_count; in zend_objects_clone_members() local
196 } while (src != end); in zend_objects_clone_members()
279 zval *end = p + new_object->ce->default_properties_count; in zend_objects_clone_obj() local
283 } while (p != end); in zend_objects_clone_obj()
H A Dzend_language_scanner.l887 char *end; in zend_scan_escape_string() local
915 if (s == end) { in zend_scan_escape_string()
921 while (s<end) { in zend_scan_escape_string()
1153 nl = end; in strip_multiline_string_indentation()
1756 char *end;
1782 char *end;
1836 char *end;
1863 char *end;
1887 const char *end;
2185 if (s == end) {
[all …]
/PHP-7.3/Zend/tests/try/
H A Dcatch_finally_003.phpt19 return "end";
30 return ($c = "end");
/PHP-7.3/Zend/tests/generators/
H A Dgc_with_yield_from.phpt27 print "end\n";
47 end
/PHP-7.3/ext/standard/tests/streams/
H A Dstream_get_line_nb.phpt28 fwrite($sockets[0], ", line end");
41 fwrite($sockets[0], "end of file");
59 string(22) "line start, line end, "
66 string(11) "end of file"
/PHP-7.3/ext/standard/tests/array/
H A Deach_basic.phpt25 end($arr);
28 echo "\n-- Passed the end of array: --\n";
74 -- Passed the end of array: --
H A Darray_intersect_1.phpt39 echo "end ------------ array_uintersect() ---------------------------\n";
47 echo "end ------------ array_uintersect_assoc() ---------------------\n";
55 echo "end ------------ array_uintersect_uassoc() with ordinary func -\n";
63 echo "end ------------ array_uintersect_uassoc() with method --------\n";
145 end ------------ array_uintersect() ---------------------------
218 end ------------ array_uintersect_assoc() ---------------------
291 end ------------ array_uintersect_uassoc() with ordinary func -
364 end ------------ array_uintersect_uassoc() with method --------
/PHP-7.3/ext/mbstring/oniguruma/src/
H A Dgb18030.c94 is_valid_mbc_string(const UChar* p, const UChar* end) in is_valid_mbc_string() argument
96 while (p < end) { in is_valid_mbc_string()
105 if (p >= end) return FALSE; in is_valid_mbc_string()
111 if (p >= end) return FALSE; in is_valid_mbc_string()
115 if (p >= end) return FALSE; in is_valid_mbc_string()
134 gb18030_mbc_to_code(const UChar* p, const UChar* end) in gb18030_mbc_to_code() argument
136 return onigenc_mbn_mbc_to_code(ONIG_ENCODING_GB18030, p, end); in gb18030_mbc_to_code()
146 gb18030_mbc_case_fold(OnigCaseFoldType flag, const UChar** pp, const UChar* end, in gb18030_mbc_case_fold() argument
150 pp, end, lower); in gb18030_mbc_case_fold()
519 gb18030_is_allowed_reverse_match(const UChar* s, const UChar* end ARG_UNUSED) in gb18030_is_allowed_reverse_match()
H A Dregtrav.c45 r = (*callback_func)(node->group, node->beg, node->end, in capture_tree_traverse()
57 r = (*callback_func)(node->group, node->beg, node->end, in capture_tree_traverse()
H A Dregerror.c207 static int to_ascii(OnigEncoding enc, UChar *s, UChar *end, in to_ascii() argument
221 while (p < end) { in to_ascii()
222 code = ONIGENC_MBC_TO_CODE(enc, p, end); in to_ascii()
248 *is_over = p < end; in to_ascii()
251 len = MIN((int )(end - s), buf_size); in to_ascii()
253 *is_over = ((buf_size < (end - s)) ? 1 : 0); in to_ascii()
/PHP-7.3/ext/zip/lib/
H A Dzip_source_filep.c76 zip_uint64_t end; /* end offset of data to read, 0 for up to EOF */ member
138 ctx->end = (len < 0 ? 0 : start+(zip_uint64_t)len); in _zip_source_file_or_p()
296 if (ctx->end > 0) { in read_file()
297 n = ctx->end-ctx->current; in read_file()
352 if (ctx->end == 0) { in read_file()
363 new_current = (zip_int64_t)ctx->end + args->offset; in read_file()
375 … || (zip_uint64_t)new_current < ctx->start || (ctx->end != 0 && (zip_uint64_t)new_current > ctx->e… in read_file()
430 if (ctx->end != 0) { in read_file()
431 st->size = ctx->end - ctx->start; in read_file()
/PHP-7.3/ext/oci8/tests/
H A Dimp_res_insert.phpt36 end;",
45 end;"
54 $s = oci_parse($c, "begin imp_res_insert_proc_nc(111); end;");
64 $s = oci_parse($c, "begin imp_res_insert_proc_nc(222); end;");
75 $s = oci_parse($c, "begin imp_res_insert_proc_c(333); end;");
86 $s = oci_parse($c, "begin imp_res_insert_proc_c(444); end;");
H A Dimp_res_dbmsoutput.phpt46 end;"
53 $s = oci_parse($c, "begin dbms_output.enable(null); end;");
59 $s = oci_parse($c, "begin dbms_output.get_line(:ln, :st); end;");
74 $s = oci_parse($c, "begin imp_res_dbmsoutput_proc(); end;");
85 $s = oci_parse($c, "begin imp_res_dbmsoutput_proc(); end;");
H A Dimp_res_get_dbmsoutput.phpt49 end;"
57 $s = oci_parse($c, "begin dbms_output.enable(null); end;");
63 $s = oci_parse($c, "begin dbms_output.get_line(:ln, :st); end;");
79 $s = oci_parse($c, "begin imp_res_get_dbmsoutput_proc(); end;");
95 $s = oci_parse($c, "begin imp_res_get_dbmsoutput_proc(); end;");
H A Dbug42841.phpt24 end bug42841_proc;",
29 end bug43449_pkg;",
37 end;
38 end bug43449_pkg;"
95 $stmt = oci_parse($c, 'begin :cur := bug43449_pkg.testcursor; end;');
/PHP-7.3/ext/standard/tests/file/
H A Dfseek_variation3.phpt30 echo "--- fseek beyond end of file ---\n";
32 fwrite($h, "end");
51 --- fseek beyond end of file ---

Completed in 46 milliseconds

12345678910>>...31